Daily Build Log


Begin #

Wed Apr 19 18:24:57 2023 UTC


Setup #

GIT

Wed Apr 19 18:24:57 2023 UTC

Running: git  log -1
commit b7e4024cfa57f27da041c9ab8858ef4ee6694671
Author: Justin Wilson <wilsonj@objectcomputing.com>
Date:   Wed Apr 19 11:27:06 2023 -0500

    Merge pull request #4123 from iguessthislldo/igtd/rm-ace-pre-post
    
    Remove Many Uses of `ace/pre.h` and `ace/post.h`
cannot remove id 2392080 (Invalid argument)
cannot remove id 2424849 (Invalid argument)
cannot remove id 6586386 (Invalid argument)
cannot remove id 6619155 (Invalid argument)
cannot remove id 9732117 (Invalid argument)
cannot remove id 9764886 (Invalid argument)
cannot remove id 12877847 (Invalid argument)
cannot remove id 12910616 (Invalid argument)
cannot remove id 13926425 (Invalid argument)
cannot remove id 13959194 (Invalid argument)
cannot remove id 124649499 (Invalid argument)
cannot remove id 138969117 (Invalid argument)
cannot remove id 146079774 (Invalid argument)

Config #

print OS Version

Wed Apr 19 18:24:57 2023 UTC

Hostname

flea

OS version (uname -a)

Linux flea 2.6.32-642.el6.x86_64 #1 SMP Wed Apr 13 00:51:26 EDT 2016 x86_64 x86_64 x86_64 GNU/Linux

Linux Standard Base and Distribution information (lsb_release -a)

LSB Version: :base-4.0-amd64:base-4.0-noarch:core-4.0-amd64:core-4.0-noarch:graphics-4.0-amd64:graphics-4.0-noarch:printing-4.0-amd64:printing-4.0-noarch Distributor ID: RedHatEnterpriseServer Description: Red Hat Enterprise Linux Server release 6.8 (Santiago) Release: 6.8 Codename: Santiago

RedHat Linux Version (/etc/redhat-release)

Red Hat Enterprise Linux Server release 6.8 (Santiago)

Linux Kernel Version (/proc/version)

Linux version 2.6.32-642.el6.x86_64 (mockbuild@x86-033.build.eng.bos.redhat.com) (gcc version 4.4.7 20120313 (Red Hat 4.4.7-17) (GCC) ) #1 SMP Wed Apr 13 00:51:26 EDT 2016

IP network address information (ip addr show)

1: lo: <LOOPBACK,UP,LOWER_UP> mtu 65536 qdisc noqueue state UNKNOWN link/loopback 00:00:00:00:00:00 brd 00:00:00:00:00:00 inet 127.0.0.1/8 scope host lo inet6 ::1/128 scope host valid_lft forever preferred_lft forever 2: eth0: <BROADCAST,MULTICAST,UP,LOWER_UP> mtu 1500 qdisc mq state UP qlen 1000 link/ether 00:0c:29:7a:35:f2 brd ff:ff:ff:ff:ff:ff inet 10.201.200.79/22 brd 10.201.203.255 scope global eth0 inet6 fe80::20c:29ff:fe7a:35f2/64 scope link valid_lft forever preferred_lft forever 3: virbr0: <BROADCAST,MULTICAST,UP,LOWER_UP> mtu 1500 qdisc noqueue state UNKNOWN link/ether 52:54:00:73:46:ea brd ff:ff:ff:ff:ff:ff inet 192.168.122.1/24 brd 192.168.122.255 scope global virbr0 4: virbr0-nic: <BROADCAST,MULTICAST> mtu 1500 qdisc noop state DOWN qlen 500 link/ether 52:54:00:73:46:ea brd ff:ff:ff:ff:ff:ff

Disk space information (df -k)

Filesystem 1K-blocks Used Available Use% Mounted on /dev/mapper/vg_flea-lv_root 14225776 7624632 5871852 57% / /dev/sdb1 41153840 37387384 1669308 96% /tao_builds

Processor info

model name : Intel(R) Xeon(R) CPU X5550 @ 2.67GHz model name : Intel(R) Xeon(R) CPU X5550 @ 2.67GHz

Memory info

MemTotal: 3924416 kB

Approximate BogoMIPS (larger means faster)

13421.7728

print Environment Variables

Wed Apr 19 18:24:58 2023 UTC

Environment:

ACE_ROOT=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE ACE_TEST_LOG_STUCK_STACKS=1 ACE_WORKSPACE=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0 AUTOBUILD_ROOT=/distcomp/nightly/autobuild BOOST_ROOT=/usr BUILD_CAUSE=UPSTREAMTRIGGER BUILD_CAUSE_UPSTREAMTRIGGER=true BUILD_DISPLAY_NAME=#1578 BUILD_ID=1578 BUILD_NUMBER=1578 BUILD_TAG=jenkins-dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1-1578 BUILD_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1578/ CI=true DDS_ROOT=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS EXECUTOR_NUMBER=0 GIT_BRANCH=origin/master GIT_CHECKOUT_DIR=OpenDDS GIT_COMMIT=b7e4024cfa57f27da041c9ab8858ef4ee6694671 GIT_PREVIOUS_COMMIT=a80e2405a2cfd9d6a8fe0a94fd0ea625de58aa4a GIT_PREVIOUS_SUCCESSFUL_COMMIT=a80e2405a2cfd9d6a8fe0a94fd0ea625de58aa4a GIT_URL=git://git.ociweb.com/git/opendds/OpenDDS.git HOME=/home/jenkins HUDSON_COOKIE=bdd0e7d9-907c-4f14-8ba4-bcced3a0298c HUDSON_HOME=/tao_builds/jenkins HUDSON_SERVER_COOKIE=d5d63b175c08171a HUDSON_URL=http://jenkins.ociweb.com:8080/ JAVA_HOME=/usr JENKINS_HOME=/tao_builds/jenkins JENKINS_SERVER_COOKIE=d5d63b175c08171a JENKINS_URL=http://jenkins.ociweb.com:8080/ JOB_BASE_NAME=dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1 JOB_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/display/redirect JOB_NAME=dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1 JOB_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/ LD_LIBRARY_PATH=/usr/sfw/lib:/usr/local/lib:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/lib:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/lib: LOGNAME=jenkins MAIL=/var/mail/jenkins MPC_ROOT=/tao_builds/jenkins/workspace/mpc_flea NIGHTLY_ROOT=/distcomp/nightly NODE_LABELS=boost flea git jdk16 linux NODE_NAME=flea OPENDDS_RTPS_DEFAULT_D0=132 PATH=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin:/usr/local/bin:/usr/bin:/bin:/usr/sbin:/sbin:/usr/ccs/bin: PWD=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1 ROOT_BUILD_CAUSE=SCMTRIGGER ROOT_BUILD_CAUSE_SCMTRIGGER=true RUN_ARTIFACTS_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1578/display/redirect?page=artifacts RUN_CHANGES_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1578/display/redirect?page=changes RUN_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1578/display/redirect RUN_TESTS_DISPLAY_URL=http://jenkins.ociweb.com:8080/job/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/1578/display/redirect?page=tests SHELL=/bin/bash SHLVL=1 SSH_CLIENT=10.201.200.26 51908 22 SSH_CONNECTION=10.201.200.26 51908 10.201.200.79 22 TAO_ROOT=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/TAO USER=jenkins WORKSPACE=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1 WORKSPACE_TMP=/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1@tmp

PrintACEConfig

Wed Apr 19 18:24:58 2023 UTC

XML Config file: /tmp/qRociOQzGr.xml
================ Autobuild ChangeLog ================
================ ACE OCIChangeLog ================
Fri Feb  3 16:15:46 UTC 2023  Adam Mitz  <mitza@objectcomputing.com>
================ TAO OCIChangeLog ================
Fri Feb  3 16:15:46 UTC 2023  Adam Mitz  <mitza@objectcomputing.com>
================ config.h ================
#include "ace/config-linux.h"
================ default.features ================
ipv6=1
================ platform_macros.GNU ================
debug=1
optimize=0
ipv6=1

include $(ACE_ROOT)/include/makeinclude/platform_linux.GNU

print make Version

Wed Apr 19 18:24:58 2023 UTC

Make version (make -v)

GNU Make 3.81 Copyright (C) 2006 Free Software Foundation, Inc. This is free software; see the source for copying conditions. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. This program built for x86_64-redhat-linux-gnu

CMake Version ("/tao_builds/taoadmin/cmake/bin/cmake" --version)

Wed Apr 19 18:24:58 2023 UTC

cmake version 3.15.1

CMake suite maintained and supported by Kitware (kitware.com/cmake).

check compiler gcc

Wed Apr 19 18:25:00 2023 UTC

================ Compiler version ================
Using built-in specs.
Target: x86_64-redhat-linux
Configured with: ../configure --prefix=/usr --mandir=/usr/share/man --infodir=/usr/share/info --with-bugurl=http://bugzilla.redhat.com/bugzilla --enable-bootstrap --enable-shared --enable-threads=posix --enable-checking=release --with-system-zlib --enable-__cxa_atexit --disable-libunwind-exceptions --enable-gnu-unique-object --enable-languages=c,c++,objc,obj-c++,java,fortran,ada --enable-java-awt=gtk --disable-dssi --with-java-home=/usr/lib/jvm/java-1.5.0-gcj-1.5.0.0/jre --enable-libgcj-multifile --enable-java-maintainer-mode --with-ecj-jar=/usr/share/java/eclipse-ecj.jar --disable-libjava-multilib --with-ppl --with-cloog --with-tune=generic --with-arch_32=i686 --build=x86_64-redhat-linux
Thread model: posix
gcc version 4.4.7 20120313 (Red Hat 4.4.7-17) (GCC) 
GNU ld version 2.20.51.0.2-5.44.el6 20100205

PrintAutobuildConfig

Wed Apr 19 18:25:00 2023 UTC

================ Autobuild file /tmp/qRociOQzGr.xml ================
<autobuild>
  <configuration>
    <environment name="ACE_ROOT"        value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE"/>
    <variable name="cmake_command" value="/tao_builds/taoadmin/cmake/bin/cmake"/>
    <environment name="DDS_ROOT"        value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS"/>
    <environment name="LD_LIBRARY_PATH" value="/usr/sfw/lib:/usr/local/lib:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/lib:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/lib:"/>
    <environment name="OPENDDS_RTPS_DEFAULT_D0" value="132"/>
    <environment name="PATH"            value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin:/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin:/usr/local/bin:/usr/bin:/bin:/usr/sbin:/sbin:/usr/ccs/bin:"/>
    <environment name="TAO_ROOT"        value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/TAO"/>
    <environment name="ACE_TEST_LOG_STUCK_STACKS"  value="1"/>
    <variable    name="build_name"      value="gcc_i1d1o0"/>
    <variable    name="creator"         value="mitza"/>
    <variable    name="log_file"        value="gcc_i1d1o0.log"/>
    <variable    name="log_root"        value="/www-docs/autobuild_logs/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1"/>
    <variable    name="project_root"    value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS"/>
    <variable    name="root"            value="/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1"/>
    <variable    name="junit_xml_output" value="Tests"/>
  </configuration>

  <!-- Build preparation -->
  <command name="status"             options="on"/>
  <command name="log"                options="on"/>
  <command name="git"                options="dir=OpenDDS log -1"/>
  <command name="rem_sems"/>
  <command name="file_manipulation"  options="type=create file=setenv.sh">
export ACE_ROOT="${ACE_WORKSPACE}/ACE"
export DDS_ROOT="${WORKSPACE}/OpenDDS"
export LD_LIBRARY_PATH="/usr/sfw/lib:/usr/local/lib:${WORKSPACE}/OpenDDS/lib:${ACE_WORKSPACE}/ACE/lib:"
export MPC_ROOT="/home/taoadmin/MPC"
export PATH="${WORKSPACE}/OpenDDS/bin:${ACE_WORKSPACE}/ACE/bin:/usr/local/bin:/usr/bin:/bin:/usr/sbin:/sbin:/usr/ccs/bin:"
export TAO_ROOT="${ACE_WORKSPACE}/TAO"
export ACE_TEST_LOG_STUCK_STACKS=1
export OPENDDS_RTPS_DEFAULT_D0=132
  </command>
  <command name="file_manipulation"  options="type=create file=OpenDDS/user_macros.GNU">
content_subscription=0
ownership_profile=0
object_model_profile=0
persistence_profile=0
  </command>
  <command name="print_os_version"/>
  <command name="print_env_vars"/>
  <command name="print_ace_config"   options="ACE=OCIChangeLog TAO=OCIChangeLog CIAO=OCIChangeLog XML_URL=https://svn.ociweb.com/viewvc/nightly"/>
  <command name="print_make_version"/>
  <command name="print_cmake_version"/>
  <command name="check_compiler"     options="gcc"/>
  <command name="print_autobuild_config"/>
  <command name="generate_workspace" options="-type gnuace DDS.mwc -features content_subscription=0,ownership_profile=0,object_model_profile=0,persistence_profile=0"/>

  <!-- Build dependencies -->
  <command name="make" options="-s -k -j2 find=*akefile dir=. depend"/>
  <command name="cmake" dir="OpenDDS/tests/googletest">
    <arg name="add_config_args">-Wno-deprecated</arg>
    <arg name="var_CMAKE_INSTALL_PREFIX">install</arg>
    <arg name="var_CMAKE_INSTALL_LIBDIR">lib</arg>
    <arg name="add_build_args">--target install -- -s -k -j2</arg>
  </command>

  <!-- Build targets -->
  <command name="make" options="-s -k -j2 find=*akefile dir=."/>

  <!-- Post build -->
  <command name="auto_run_tests"  options="script_path=tests dir=. -Config DDS_NO_OBJECT_MODEL_PROFILE -Config DDS_NO_OWNERSHIP_PROFILE -Config DDS_NO_PERSISTENCE_PROFILE -Config DDS_NO_CONTENT_SUBSCRIPTION -Config IPV6"/>
  <command name="log"             options="off"/>
  <command name="process_logs"    options="copy=1 prettify=1"/>
  <command name="status"          options="off"/>
</autobuild>

Setup #

Generate Workspaces

Wed Apr 19 18:25:00 2023 UTC

Running: perl "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/mwc.pl" -type gnuace DDS.mwc -features content_subscription=0,ownership_profile=0,object_model_profile=0,persistence_profile=0
MPC_ROOT was set to /tao_builds/jenkins/workspace/mpc_flea.
Using .../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/MakeProjectCreator/config/MPC.cfg
CIAO_ROOT was used in the configuration file, but was not defined.
DANCE_ROOT was used in the configuration file, but was not defined.
Generating 'gnuace' output using DDS.mwc
Skipping ishapes (ishapes.mpc); it requires qt5.
Skipping Bench_dashboard_summarizer (Bench_dashboard_summarizer.mpc); it avoids no_cxx11.
Skipping Bench_Common (Bench_Common.mpc); it avoids no_cxx11.
Skipping Bench_udp_latency (Bench_udp_latency.mpc); it avoids no_cxx11.
Skipping Bench_Idl (Bench_Idl.mpc); it avoids no_cxx11.
Skipping Bench_Worker (Bench_Worker.mpc); it avoids no_cxx11.
Skipping Unit_Tests_ScenarioAllocationTest (unit_tests.mpc); it avoids no_rapidjson.
Skipping Bench_test_controller (Bench_test_controller.mpc); it avoids no_cxx11.
Skipping Bench_Builder (Bench_Builder.mpc); it avoids no_cxx11.
Skipping Bench_tcp_latency (Bench_tcp_latency.mpc); it avoids no_cxx11.
Skipping Bench_report_parser (Bench_report_parser.mpc); it avoids no_cxx11.
Skipping Bench_node_controller (Bench_node_controller.mpc); it avoids no_cxx11.
Skipping dissector (dissector.mpc); it requires wireshark_any.
Skipping RtpsRelay (RtpsRelay.mpc); it requires xerces.
Skipping ExcelRTD (ExcelRTD.mpc); it requires qt5.
Skipping RtpsRelayLib (RtpsRelayLib.mpc); it avoids no_cxx11.
Skipping Monitor_App (Monitor.mpc); it requires qt5.
Skipping OpenDDS_Security (DdsSecurity.mpc); it requires xerces.
Skipping OpenDDS_XML_QOS_XSC_Generation (qos_xml_handler.mpc); it requires xsc.
Skipping OpenDDS_QOS_XML_XSC_Handler (qos_xml_handler.mpc); it requires xerces.
Skipping OpenDDS_Corba (OpenDDS_Corba.mpc); it avoids no_opendds_safety_profile.
Skipping DDS_Ownership_Idl (Ownership.mpc); it requires ownership_profile.
Skipping DDS_Ownership_Publisher (Ownership.mpc); it requires ownership_profile.
Skipping DDS_Ownership_Subscriber (Ownership.mpc); it requires ownership_profile.
Skipping MetaStructTest (MetaStructTest.mpc); it requires content_subscription.
Skipping ParticipantLocationTopic (ParticipantLocation.mpc); it requires xerces.
Skipping UnionTopic (UnionTopic.mpc); it requires ownership_profile.
Skipping Presentation (Presentation.mpc); it requires object_model_profile.
Skipping DDS_Cxx11_Messenger_Subscriber (DDS_Cxx11_Messenger_Subscriber.mpc); it avoids no_cxx11.
Skipping DDS_Cxx11_Messenger_Idl (DDS_Cxx11_Messenger_Idl.mpc); it avoids no_cxx11.
Skipping DDS_Cxx11_Messenger_Publisher (DDS_Cxx11_Messenger_Publisher.mpc); it avoids no_cxx11.
Skipping SubscriberCycle_Subscriber (SubscriberCycle.mpc); it requires content_subscription.
Skipping TopicExpressionTest (TopicExpressionTest.mpc); it requires content_subscription.
Skipping FilterExpressionTest (FilterExpressionTest.mpc); it requires content_subscription.
Skipping DDS_SampleLost_Publisher (SampleLost.mpc); it requires persistence_profile.
Skipping DDS_SampleLost_Subscriber (SampleLost.mpc); it requires persistence_profile.
Skipping keywords_cpp11 (keywords_cpp11.mpc); it avoids no_cxx11.
Skipping anonymous_types_cpp11 (anonymous_types_cpp11.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test2_lib (cxx11_idl_test2_lib.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test3_lib (cxx11_idl_test3_lib.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test3_main (cxx11_idl_test3_main.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test1_lib (cxx11_idl_test1_lib.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test_nested_types_lib (cxx11_idl_test_nested_types_lib.mpc); it avoids no_cxx11.
Skipping cxx11_idl_test1_main (cxx11_idl_test1_main.mpc); it avoids no_cxx11.
Skipping Compiler_Typecode_C++11 (typecode_C++11.mpc); it avoids no_cxx11.
Skipping VreadVwriteTest (VreadVwriteTest.mpc); it avoids no_rapidjson.
Skipping Compiler_TryConstruct_C++11 (TryConstruct_C++11.mpc); it avoids no_cxx11.
Skipping union_defaults_C++11 (union_defaults_C++11.mpc); it avoids no_cxx11.
Skipping underscore_fields (underscore_fields.mpc); it avoids no_cxx11.
Skipping explicit_ints_cpp11 (explicit_ints_cpp11.mpc); it avoids no_cxx11.
Skipping char_literals_cpp11 (char_literals_cpp11.mpc); it avoids no_cxx11.
Skipping DDS_GroupPresentation_Idl (GroupPresentation.mpc); it requires object_model_profile.
Skipping DDS_GroupPresentation_Publisher (GroupPresentation.mpc); it requires object_model_profile.
Skipping DDS_GroupPresentation_Subscriber (GroupPresentation.mpc); it requires object_model_profile.
Skipping DDS_PersistentDurability_Publisher (PersistentDurability.mpc); it requires persistence_profile.
Skipping DDS_PersistentDurability_Subscriber (PersistentDurability.mpc); it requires persistence_profile.
Skipping StaticDiscoveryTest (StaticDiscoveryTest.mpc); it requires ownership_profile.
Skipping QueryCondition (QueryCondition.mpc); it requires content_subscription.
Skipping ContentFilteredTopic (ContentFilteredTopic.mpc); it requires content_subscription.
Skipping DDS_Inconsistent_Qos_Inconsistent_Qos (Inconsistent_Qos.mpc); it requires xerces.
Skipping DDS_TransientDurability_Publisher (TransientDurability.mpc); it requires persistence_profile.
Skipping DDS_TransientDurability_Subscriber (TransientDurability.mpc); it requires persistence_profile.
Skipping RtpsRelayPublisher (Smoke.mpc); it avoids no_cxx11.
Skipping RtpsRelaySubscriber (Smoke.mpc); it avoids no_cxx11.
Skipping RtpsRelayMonitor (Smoke.mpc); it avoids no_cxx11.
Skipping RtpsRelayMetaChecker (Smoke.mpc); it avoids no_cxx11.
Skipping StunClient (STUN.mpc); it avoids no_cxx11.
Skipping MultiTopicTest_Classic (MultiTopicTest_Classic.mpc); it requires content_subscription.
Skipping MultiTopicTest_Cpp11 (MultiTopicTest_Cpp11.mpc); it requires content_subscription.
Skipping qos_dumpXMLString (qos_dumpXMLString.mpc); it requires xerces.
Skipping qos_dump (qos_dump.mpc); it requires xerces.
Skipping Security_TopicWithoutPermissions (TopicWithoutPermissions.mpc); it requires xerces.
Skipping CheckInstance (CheckInstance.mpc); it requires xerces.
Skipping Security_IDL_Serialization (IDL_Serialization.mpc); it avoids no_opendds_security.
Skipping DDS_SecurityAttributesTest_Idl (SecurityAttributesTest.mpc); it avoids no_opendds_security.
Skipping DDS_SecurityAttributesTest_Publisher (SecurityAttributesTest.mpc); it requires xerces.
Skipping DDS_SecurityAttributesTest_Subscriber (SecurityAttributesTest.mpc); it requires xerces.
Skipping gov_gen (SecurityAttributesTest.mpc); it requires xerces.
Skipping ConcurrentAuthLimit (ConcurrentAuthLimit.mpc); it requires xerces.
Skipping SingleParticipantWithSecurity (SingleParticipantWithSecurity.mpc); it requires xerces.
Skipping idl2jni_corba (idl2jni_corba.mpc); it requires java.
Skipping idl2jni_codegen (idl2jni_codegen.mpc); it requires java.
Skipping idl2jni_runtime (idl2jni_runtime.mpc); it requires java.
Skipping idl2jni_test_union (idl2jni_test_union.mpc); it requires java.
Skipping idl2jni_test_explicit_ints (idl2jni_test_explicit_ints.mpc); it requires java.
Skipping idl2jni_test_simple (idl2jni_test_simple.mpc); it requires java.
Skipping dcps_java (dcps_java.mpc); it requires java.
Skipping participant_location_test (participant_location_test.mpc); it requires java.
Skipping multirepo_test (multirepo_test.mpc); it requires java.
Skipping complex_idl_test (complex_idl_test.mpc); it requires java.
Skipping builtintopics_test (builtintopics_test.mpc); it requires java.
Skipping publisher_idl_test (publisher_idl_test.mpc); it requires java.
Skipping java_both_test (java_both_test.mpc); it requires java.
Skipping subscriber_idl_test (subscriber_idl_test.mpc); it requires java.
Skipping messenger_idl_test (messenger_idl_test.mpc); it requires java.
Skipping transport_config (transport_config.mpc); it requires java.
Skipping internal_thread_status_test (internal_thread_status_test.mpc); it requires java.
Skipping hello_java_client (hello_java_client.mpc); it requires java.
Skipping two_idl (two_idl.mpc); it requires java.
Skipping zerocopy_java_test (zerocopy.mpc); it requires java.
Skipping tao_java (tao_java.mpc); it requires java.
Generation Time: 2m 19s

Compile #

make

Wed Apr 19 18:27:20 2023 UTC

Pattern: *akefile
Running: make -f GNUmakefile -s -k -j2   depend
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Info.idl.
GNUmakefile idl dependencies unchanged for DataReaderRemote.idl.
GNUmakefile idl dependencies unchanged for DataWriterRemote.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DdsDcpsGuid.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsCore.idl.
GNUmakefile idl dependencies unchanged for OpenddsDcpsExt.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsInfoUtils.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsConditionSeq.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsDataReaderSeq.idl.
GNUmakefile idl dependencies unchanged for DdsSecurityParams.idl.
GNUmakefile idl dependencies unchanged for DdsSecurityCore.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsGuidTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsCoreTypeSupport.idl.
GNUmakefile idl dependencies unchanged for OpenddsDcpsExtTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsInfrastructureTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DdsDcps.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsDomain.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsInfrastructure.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsPublication.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsSubscription.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsSubscriptionExt.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsTopic.idl.
GNUmakefile idl dependencies unchanged for DdsDcpsTypeSupportExt.idl.
GNUmakefile idl dependencies unchanged for DdsDynamicDataSeq.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DdsDynamicData.idl.
GNUmakefile idl dependencies unchanged for DdsDynamicTypeSupport.idl.
GNUmakefile idl dependencies unchanged for RtpsCore.idl.
GNUmakefile idl dependencies unchanged for RtpsSecurity.idl.
GNUmakefile idl dependencies unchanged for RtpsRpc.idl.
GNUmakefile idl dependencies unchanged for TypeLookup.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Federator.idl.
GNUmakefile idl dependencies unchanged for FederatorTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FileInfoTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FileInfo.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for monitor.idl.
GNUmakefile idl dependencies unchanged for monitorTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for StockQuoterTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for StockQuoter.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Sync.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SyncExt.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SyncExt.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SyncExt.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SyncExt.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for PTDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for PTDef.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DDSPerfTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DDSPerfTest.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for testMessageTypeSupport.idl.
GNUmakefile idl dependencies unchanged for testMessageTypeSupport.idl.
GNUmakefile idl dependencies unchanged for testMessage.idl.
GNUmakefile idl dependencies unchanged for testMessage.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for BuilderTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Builder.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for ZeroEnumTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ZeroEnum.idl.
GNUmakefile idl dependencies unchanged for Extensibility.idl.
GNUmakefile idl dependencies unchanged for ExtensibilityTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TryConstructBaseTypes.idl.
GNUmakefile idl dependencies unchanged for NestedTrimStruct.idl.
GNUmakefile idl dependencies unchanged for AnonTypesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TryConstructTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TryConstruct.idl.
GNUmakefile idl dependencies unchanged for AnonTypes.idl.
GNUmakefile idl dependencies unchanged for NestedTrimStructTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TryConstructBaseTypesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for testTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for ../test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for testTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for ../test.idl.
GNUmakefile idl dependencies unchanged for testTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for NamespacesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Namespaces.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for BazDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for BazDef.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDef2TypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDef2.idl.
GNUmakefile idl dependencies unchanged for FooDef3.idl.
GNUmakefile idl dependencies unchanged for SharedTypes.idl.
GNUmakefile idl dependencies unchanged for SharedTypesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDef3TypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for NestedTypesTest.idl.
GNUmakefile idl dependencies unchanged for NestedTypesTestTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for ../is_topic_type.idl.
GNUmakefile idl dependencies unchanged for is_topic_typeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../default_nested_is_topic_type.idl.
GNUmakefile idl dependencies unchanged for default_nested_is_topic_typeTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for ../is_topic_type.idl.
GNUmakefile idl dependencies unchanged for is_topic_typeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../default_nested_is_topic_type.idl.
GNUmakefile idl dependencies unchanged for default_nested_is_topic_typeTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for StructTest.idl.
GNUmakefile idl dependencies unchanged for UnionTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for StructTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for UnionTest.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for key_annotation.idl.
GNUmakefile idl dependencies unchanged for key_annotationTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for testTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ../test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Nsc.idl.
GNUmakefile idl dependencies unchanged for NscTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for sequenceTypeSupport.idl.
GNUmakefile idl dependencies unchanged for sequence.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for typecodeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for typecode.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TypeObjectTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for TypeObjectTest.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for union_defaultsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for union_defaults.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for appendable_mixed.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for mutable_types2TypeSupport.idl.
GNUmakefile idl dependencies unchanged for mutable_typesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for xcdrbasetypes.idl.
GNUmakefile idl dependencies unchanged for keyonly.idl.
GNUmakefile idl dependencies unchanged for appendable_mixedTypeSupport.idl.
GNUmakefile idl dependencies unchanged for keyonlyTypeSupport.idl.
GNUmakefile idl dependencies unchanged for xcdrbasetypesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for mutable_types.idl.
GNUmakefile idl dependencies unchanged for mutable_types2.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for Messenger2TypeSupport.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Messenger2.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DataRepresentation.idl.
GNUmakefile idl dependencies unchanged for DataRepresentationTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooTypeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooType.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DelayedDurableTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DelayedDurable.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestFramework.idl.
GNUmakefile idl dependencies unchanged for TestFrameworkTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for HelloWorld.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for HelloWorldTypeSupport.idl.
GNUmakefile idl dependencies unchanged for HelloWorld.idl.
GNUmakefile idl dependencies unchanged for HelloWorldTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for DynamicResponseNotCompleteTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DynamicResponseTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DynamicResponse.idl.
GNUmakefile idl dependencies unchanged for DynamicResponseNotComplete.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for dynamic.idl.
GNUmakefile idl dependencies unchanged for dynamicTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for HelloWorld.idl.
GNUmakefile idl dependencies unchanged for HelloWorld.idl.
GNUmakefile idl dependencies unchanged for HelloWorldTypeSupport.idl.
GNUmakefile idl dependencies unchanged for HelloWorldTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for NoKeyData.idl.
GNUmakefile idl dependencies unchanged for NoKeyDataTypeSupport.idl.
GNUmakefile idl dependencies unchanged for KeyedData.idl.
GNUmakefile idl dependencies unchanged for KeyedDataTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for BoundTest2TypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for KeyTest2TypeSupport.idl.
GNUmakefile idl dependencies unchanged for BoundTestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for KeyTestTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for KeyTest.idl.
GNUmakefile idl dependencies unchanged for KeyTest2.idl.
GNUmakefile idl dependencies unchanged for BoundTest.idl.
GNUmakefile idl dependencies unchanged for BoundTest2.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Satellite.idl.
GNUmakefile idl dependencies unchanged for SatelliteTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Foo4Def.idl.
GNUmakefile idl dependencies unchanged for Foo1DefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Foo4DefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Foo1Def.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Foo3Def.idl.
GNUmakefile idl dependencies unchanged for Foo2Def.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Foo3DefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Foo2DefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Foo1DefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Foo1Def.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for MyTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestMsg.idl.
GNUmakefile idl dependencies unchanged for TestMsgTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for GeneratedCode/MessengerTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Reliability.idl.
GNUmakefile idl dependencies unchanged for ReliabilityTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestMsg.idl.
GNUmakefile idl dependencies unchanged for TestMsgTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SkipSerializeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for SkipSerialize.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestMsg.idl.
GNUmakefile idl dependencies unchanged for TestMsgTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Messenger.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for MessengerTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TypeSupportPlugin.idl.
GNUmakefile idl dependencies unchanged for TypeSupportPluginTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Simple.idl.
GNUmakefile idl dependencies unchanged for SimpleTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for TestTypeSupport.idl.
GNUmakefile idl dependencies unchanged for Test.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Simple.idl.
GNUmakefile idl dependencies unchanged for SimpleTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Common.idl.
GNUmakefile idl dependencies unchanged for Subscriber.idl.
GNUmakefile idl dependencies unchanged for CommonTypeSupport.idl.
GNUmakefile idl dependencies unchanged for SubscriberTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Common.idl.
GNUmakefile idl dependencies unchanged for PublisherNonMutableStructs.idl.
GNUmakefile idl dependencies unchanged for PublisherMutableStructs.idl.
GNUmakefile idl dependencies unchanged for PublisherUnions.idl.
GNUmakefile idl dependencies unchanged for CommonTypeSupport.idl.
GNUmakefile idl dependencies unchanged for PublisherNonMutableStructsTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for PublisherMutableStructsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for PublisherUnionsTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for Simple.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SimpleTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ZeroEnumTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ZeroEnum.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for ZeroEnumTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ZeroEnum.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for NamespacesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for BazDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FooDef3TypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDefTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FooDef2TypeSupport.idl.
GNUmakefile idl dependencies unchanged for SharedTypesTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for topTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for struct_onlyTypeSupport.idl.
GNUmakefile idl dependencies unchanged for FixedTypeSupport.idl.
GNUmakefile idl dependencies unchanged for typedef_onlyTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for SampleModelTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceHeaderTestMsgTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for FaceMessageTypeSupport.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for transmission_specsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for ecuTypeSupport.idl.
GNUmakefile idl dependencies unchanged for engine_specsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for fuel_statsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for transmission_statesTypeSupport.idl.
GNUmakefile idl dependencies unchanged for engine/engine_specs.idl.
GNUmakefile idl dependencies unchanged for engine/engine_stats/fuel_stats.idl.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for transmission/transmission_specs.idl.
GNUmakefile idl dependencies unchanged for transmission/transmission_states.idl.
GNUmakefile idl dependencies unchanged for ecu.idl.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile dependencies unchanged.
GNUmakefile idl dependencies unchanged for CompleteToMinimalTypeObjectTypeSupport.idl.
GNUmakefile idl dependencies unchanged for XTypesUtilsTypeSupport.idl.
GNUmakefile idl dependencies unchanged for CompleteToDynamicTypeTypeSupport.idl.
GNUmakefile idl dependencies unchanged for DynamicDataAdapterTypeSupport.idl.
GNUmakefile idl dependencies unchanged for key_annotationTypeSupport.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/CompleteToDynamicType.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/CompleteToMinimalTypeObject.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/DynamicDataXcdrReadImpl.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/DynamicDataImpl.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/XTypesUtils.idl.
GNUmakefile idl dependencies unchanged for dds/DCPS/XTypes/DynamicDataAdapter.idl.
GNUmakefile idl dependencies unchanged for ../DCPS/Compiler/key_annotation/key_annotation.idl.
GNUmakefile dependencies unchanged.

cmake

Wed Apr 19 18:29:25 2023 UTC

-- The C compiler identification is GNU 4.4.7
-- The CXX compiler identification is GNU 4.4.7
-- Check for working C compiler: /usr/bin/cc
-- Check for working C compiler: /usr/bin/cc -- works
-- Detecting C compiler ABI info
-- Detecting C compiler ABI info - done
-- Detecting C compile features
-- Detecting C compile features - done
-- Check for working CXX compiler: /usr/bin/c++
-- Check for working CXX compiler: /usr/bin/c++ -- works
-- Detecting CXX compiler ABI info
-- Detecting CXX compiler ABI info - done
-- Detecting CXX compile features
-- Detecting CXX compile features - done
-- Found PythonInterp: /usr/bin/python (found version "2.6.6") 
-- Looking for pthread.h
-- Looking for pthread.h - found
-- Performing Test CMAKE_HAVE_LIBC_PTHREAD
-- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed
-- Check if compiler accepts -pthread
-- Check if compiler accepts -pthread - yes
-- Found Threads: TRUE  
-- Configuring done
-- Generating done
-- Build files have been written to: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build
Scanning dependencies of target gtest
[ 12%] Building CXX object googlemock/gtest/CMakeFiles/gtest.dir/src/gtest-all.cc.o
[ 25%] Linking CXX static library libgtest.a
[ 25%] Built target gtest
Scanning dependencies of target gtest_main
[ 37%] Building CXX object googlemock/gtest/CMakeFiles/gtest_main.dir/src/gtest_main.cc.o
Scanning dependencies of target gmock
[ 50%] Building CXX object googlemock/CMakeFiles/gmock.dir/src/gmock-all.cc.o
[ 62%] Linking CXX static library libgtest_main.a
[ 62%] Built target gtest_main
[ 75%] Linking CXX static library libgmock.a
[ 75%] Built target gmock
Scanning dependencies of target gmock_main
[ 87%] Building CXX object googlemock/CMakeFiles/gmock_main.dir/src/gmock_main.cc.o
[100%] Linking CXX static library libgmock_main.a
[100%] Built target gmock_main
Install the project...
-- Install configuration: ""
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-matchers.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-function-mockers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-cardinalities.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-actions.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/gmock-generated-internal-utils.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/gmock-internal-utils.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/gmock-port.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/gmock-generated-internal-utils.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/gmock-generated-actions.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/README.md
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/gmock-port.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/gmock-generated-actions.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/internal/custom/gmock-matchers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-more-matchers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-spec-builders.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-more-actions.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-nice-strict.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-actions.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-nice-strict.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-matchers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-actions.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-function-mockers.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gmock/gmock-generated-matchers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/libgmock.a
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/libgmock_main.a
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/pkgconfig/gmock.pc
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/pkgconfig/gmock_main.pc
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/cmake/GTest/GTestTargets.cmake
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/cmake/GTest/GTestTargets-noconfig.cmake
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/cmake/GTest/GTestConfigVersion.cmake
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/cmake/GTest/GTestConfig.cmake
-- Up-to-date: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-message.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-param-test.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-spi.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-printers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-port.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-tuple.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-type-util.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-death-test-internal.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-tuple.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-port-arch.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-internal.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-param-util-generated.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-param-util.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-linked_ptr.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-filepath.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-string.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom/gtest-port.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom/README.md
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom/gtest-printers.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/custom/gtest.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-param-util-generated.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/internal/gtest-type-util.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest_pred_impl.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest_prod.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-param-test.h.pump
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-test-part.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-death-test.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/include/gtest/gtest-typed-test.h
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/libgtest.a
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/libgtest_main.a
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/pkgconfig/gtest.pc
-- Installing: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/googletest/build/install/lib/pkgconfig/gtest_main.pc

make

Wed Apr 19 18:29:36 2023 UTC

Pattern: *akefile
Running: make -f GNUmakefile -s -k -j2  

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_IOGR_Imr/GNUmakefile.DDS_Messenger_IOGR_Imr_Aggregator #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/GNUmakefile.OpenDDS_Util #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Sync/GNUmakefile.Sync_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/raw_tcp/publisher/GNUmakefile.DCPS_Perf_Raw_Tcp_Latency_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/raw_tcp/subscriber/GNUmakefile.DCPS_Perf_Raw_Tcp_Latency_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPProfilingTest/raw_tcp/publisher/GNUmakefile.DCPS_Perf_Raw_Tcp_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPProfilingTest/raw_tcp/subscriber/GNUmakefile.DCPS_Perf_Raw_Tcp_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TcpReconnect/GNUmakefile.stub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Sync/GNUmakefile.Sync_ServerLib #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/idl/GNUmakefile.opendds_idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Sync/GNUmakefile.Sync_ClientLib #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Sync/GNUmakefile.Sync_Server #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/GNUmakefile.OpenDDS_Dcps #

ln -s libOpenDDS_Dcps.so.3.25.0-dev libOpenDDS_Dcps.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger.minimal/GNUmakefile.MessengerMinimal_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/modeling/codegen/model/GNUmakefile.Model_Lib #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/tcp/GNUmakefile.OpenDDS_Tcp #

ln -s libOpenDDS_Model.so.3.25.0-dev libOpenDDS_Model.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/GNUmakefile.Messenger_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/RTPS/GNUmakefile.OpenDDS_Rtps #

ln -s libOpenDDS_Tcp.so.3.25.0-dev libOpenDDS_Tcp.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/GNUmakefile.Messenger_ZeroCopy_Idl #

ln -s libMessenger_ZeroCopy_Idl.so.3.25.0-dev libMessenger_ZeroCopy_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/FACE/GNUmakefile.OpenDDS_FACE #

ln -s libOpenDDS_FACE.so.3.25.0-dev libOpenDDS_FACE.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/multicast/GNUmakefile.OpenDDS_Multicast #

ln -s libOpenDDS_Rtps.so.3.25.0-dev libOpenDDS_Rtps.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/shmem/GNUmakefile.OpenDDS_Shmem #

ln -s libOpenDDS_Multicast.so.3.25.0-dev libOpenDDS_Multicast.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/udp/GNUmakefile.OpenDDS_Udp #

ln -s libOpenDDS_Shmem.so.3.25.0-dev libOpenDDS_Shmem.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/monitor/GNUmakefile.OpenDDS_monitor #

ln -s libOpenDDS_Udp.so.3.25.0-dev libOpenDDS_Udp.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/IntroductionToOpenDDS/GNUmakefile.StockQuoter_Common #

ln -s libStockQuoterCommon.so.3.25.0-dev libStockQuoterCommon.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_IOGR_Imr/GNUmakefile.DDS_Messenger_IOGR_Imr_Idl #

ln -s libDDS_Messenger_IOGR_Imr_Idl.so.3.25.0-dev libDDS_Messenger_IOGR_Imr_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/GNUmakefile.DDS_Messenger_Imr_Idl #

ln -s libDDS_Messenger_Imr_Idl.so.3.25.0-dev libDDS_Messenger_Imr_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/GNUmakefile.InfoRepo_Population_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TypeNoKeyBounded/GNUmakefile.DCPS_Perf_TypeNoKeyBounded #

ln -s libOpenDDS_monitor.so.3.25.0-dev libOpenDDS_monitor.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Priority/GNUmakefile.Perf_Priority_Idl #

ln -s libPerf_Priority_Idl.so.3.25.0-dev libPerf_Priority_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/GNUmakefile.SimpleLatency_Idl #

ln -s libDCPS_Perf_TypeNoKeyBounded.so.3.25.0-dev libDCPS_Perf_TypeNoKeyBounded.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/bench/builder_idl/GNUmakefile.Bench_Builder_Idl #

ln -s libSimpleLatency_Idl.so.3.25.0-dev libSimpleLatency_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/AddressLookup/GNUmakefile.AddressLookup #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType4/GNUmakefile.DcpsFooType4 #

ln -s libBench_Builder_Idl.so.3.25.0-dev libBench_Builder_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConsolidatedMessengerIdl/GNUmakefile.ConsolidatedMessengerIdl #

ln -s libDcpsFooType4.so.3.25.0-dev libDcpsFooType4.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/common/GNUmakefile.common #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/TryConstruct/GNUmakefile.Compiler_TryConstruct #

ln -s libConsolidatedMessengerIdl.so.3.25.0-dev libConsolidatedMessengerIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/XtypesExtensibility/GNUmakefile.Compiler_XtypesExtensibility #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/anonymous_types/classic/GNUmakefile.anonymous_types_classic #

ln -s libanonymous_types_classic.so.3.25.0-dev libanonymous_types_classic.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/char_literals/classic/GNUmakefile.char_literals_classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/explicit_ints/classic/GNUmakefile.explicit_ints_classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test1_lib/GNUmakefile.idl_test1_lib #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test2_lib/GNUmakefile.idl_test2_lib #

ln -s libidl_test1_lib.so.3.25.0-dev libidl_test1_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test3_lib/GNUmakefile.idl_test3_lib #

ln -s libidl_test2_lib.so.3.25.0-dev libidl_test2_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test_nested_types_lib/GNUmakefile.idl_test_nested_types_lib #

ln -s libidl_test_nested_types_lib.so.3.25.0-dev libidl_test_nested_types_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/is_topic_type/dn/GNUmakefile.is_topic_type_dn #

ln -s libis_topic_type_dn.so.3.25.0-dev libis_topic_type_dn.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/is_topic_type/no_dn/GNUmakefile.is_topic_type_no_dn #

ln -s libidl_test3_lib.so.3.25.0-dev libidl_test3_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/key_annotation/GNUmakefile.key_annotation #

ln -s libis_topic_type_no_dn.so.3.25.0-dev libis_topic_type_no_dn.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/keywords/classic/GNUmakefile.keywords_classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/typecode/GNUmakefile.Compiler_Typecode #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/typeobject_generator/GNUmakefile.TypeObjectTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/union_defaults/GNUmakefile.union_defaults #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/xcdr/GNUmakefile.xcdr #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/GNUmakefile.CorbaSeq_Idl #

ln -s libCorbaSeq_Idl.so.3.25.0-dev libCorbaSeq_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType/GNUmakefile.DcpsFooType #

ln -s libDcpsFooType.so.3.25.0-dev libDcpsFooType.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TestFramework/GNUmakefile.TestFramework #

ln -s libTestFramework.so.3.25.0-dev libTestFramework.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType5/GNUmakefile.DcpsFooType5 #

ln -s libDcpsFooType5.so.3.25.0-dev libDcpsFooType5.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FileSystemStorage/GNUmakefile.FileSystemStorage #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType3/GNUmakefile.DcpsFooType3 #

ln -s libDcpsFooType3.so.3.25.0-dev libDcpsFooType3.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType3Unbounded/GNUmakefile.DcpsFooType3Unbounded #

ln -s libDcpsFooType3Unbounded.so.3.25.0-dev libDcpsFooType3Unbounded.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooType3NoKey/GNUmakefile.DcpsFooType3NoKey #

ln -s libDcpsFooType3NoKey.so.3.25.0-dev libDcpsFooType3NoKey.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/GuardCondition/GNUmakefile.GuardCondition #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Instances/GNUmakefile.DDS_Instances_Idl #

ln -s libDDS_Instances_Idl.so.3.25.0-dev libDDS_Instances_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/Utils/GNUmakefile.TestUtils #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/GNUmakefile.DDS_KeyTest_Idl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/GNUmakefile.KeyTest_MD5 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LargeSample/GNUmakefile.DDS_LargeSample_Idl #

ln -s libDDS_LargeSample_Idl.so.3.25.0-dev libDDS_LargeSample_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/GNUmakefile.DDS_LivelinessKeepAliveTest_Idl #

ln -s libDDS_LivelinessKeepAliveTest_Idl.so.3.25.0-dev libDDS_LivelinessKeepAliveTest_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/GNUmakefile.DDS_ManyTopicMultiProcess_Idl #

ln -s libDDS_ManyTopicMultiProcess_Idl.so.3.25.0-dev libDDS_ManyTopicMultiProcess_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTypes/GNUmakefile.ManyTopicTypes #

ln -s libManyTopicTypes.so.3.25.0-dev libManyTopicTypes.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/GNUmakefile.DDS_Messenger_Idl #

ln -s libDDS_KeyTest_Idl.so.3.25.0-dev libDDS_KeyTest_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/GNUmakefile.DDS_Partition_Idl #

ln -s libDDS_Messenger_Idl.so.3.25.0-dev libDDS_Messenger_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Priority/GNUmakefile.DDS_Priority_Idl #

ln -s libDDS_Partition_Idl.so.3.25.0-dev libDDS_Partition_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reliability/IDL/GNUmakefile.Reliability #

ln -s libDDS_Priority_Idl.so.3.25.0-dev libDDS_Priority_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SkipSerialize/GNUmakefile.DDS_SkipSerialize_Idl #

ln -s libReliability.so.3.25.0-dev libReliability.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/GNUmakefile.DDS_StringKey_Idl #

ln -s libDDS_SkipSerialize_Idl.so.3.25.0-dev libDDS_SkipSerialize_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TcpReconnect/GNUmakefile.DDS_TcpReconnect_Idl #

ln -s libDDS_StringKey_Idl.so.3.25.0-dev libDDS_StringKey_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TypeSupportPlugin/GNUmakefile.TypeSupportPlugin #

ln -s libDDS_TcpReconnect_Idl.so.3.25.0-dev libDDS_TcpReconnect_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TypeSupportPlugin/GNUmakefile.TypeSupportPluginUser #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAck/GNUmakefile.WaitForAck_Idl #

ln -s libTypeSupportPlugin.so.3.25.0-dev libTypeSupportPlugin.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Idl/GNUmakefile.FaceMessengerIdl #

ln -s libWaitForAck_Idl.so.3.25.0-dev libWaitForAck_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test1_lib/GNUmakefile.FACE_idl_test1_lib #

ln -s libFaceMessengerIdl.so.3.25.0-dev libFaceMessengerIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test2_lib/GNUmakefile.FACE_idl_test2_lib #

ln -s libFACE_idl_test1_lib.so.3.25.0-dev libFACE_idl_test1_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test3_lib/GNUmakefile.FACE_idl_test3_lib #

ln -s libFACE_idl_test2_lib.so.3.25.0-dev libFACE_idl_test2_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test4_lib/GNUmakefile.FACE_idl_test4_lib #

ln -s libFACE_idl_test4_lib.so.3.25.0-dev libFACE_idl_test4_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test_fixed/GNUmakefile.FACE_idl_test_fixed #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Conformance/GNUmakefile.FaceConformanceIdl #

ln -s libFaceConformanceIdl.so.3.25.0-dev libFaceConformanceIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Idl/GNUmakefile.FaceHeaderTestIdl #

ln -s libFaceHeaderTestIdl.so.3.25.0-dev libFaceHeaderTestIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Idl/GNUmakefile.FaceMultiDomainMessengerIdl #

ln -s libFaceMultiDomainMessengerIdl.so.3.25.0-dev libFaceMultiDomainMessengerIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Idl/GNUmakefile.FacePartitionIdl #

ln -s libFacePartitionIdl.so.3.25.0-dev libFacePartitionIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/SingleProcessMessenger/Idl/GNUmakefile.FaceMessengerSingleProcessIdl #

ln -s libFACE_idl_test3_lib.so.3.25.0-dev libFACE_idl_test3_lib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/TestMsg/GNUmakefile.DDS_tests_transport_testmsg #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/network_resource/GNUmakefile.NetworkResource #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/InfoRepoDiscovery/GNUmakefile.OpenDDS_InfoRepoDiscovery #

ln -s libFaceMessengerSingleProcessIdl.so.3.25.0-dev libFaceMessengerSingleProcessIdl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/DCPS/transport/rtps_udp/GNUmakefile.OpenDDS_Rtps_Udp #

ln -s libOpenDDS_InfoRepoDiscovery.so.3.25.0-dev libOpenDDS_InfoRepoDiscovery.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/FACE/Simple/GNUmakefile.FaceMessenger_Idl #

ln -s libFaceMessenger_Idl.so.3.25.0-dev libFaceMessenger_Idl.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/InfoRepo/GNUmakefile.DCPSInfoRepo_Lib #

ln -s libOpenDDS_Rtps_Udp.so.3.25.0-dev libOpenDDS_Rtps_Udp.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/DistributedContent/GNUmakefile.DDS_DistributedContent_Node #

ln -s libOpenDDS_InfoRepoLib.so.3.25.0-dev libOpenDDS_InfoRepoLib.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/IntroductionToOpenDDS/GNUmakefile.StockQuoter_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/IntroductionToOpenDDS/GNUmakefile.StockQuoter_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_IOGR_Imr/GNUmakefile.DDS_Messenger_IOGR_Imr_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_IOGR_Imr/GNUmakefile.DDS_Messenger_IOGR_Imr_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/GNUmakefile.DDS_Messenger_Imr_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/GNUmakefile.InfoRepo_Population_SyncServer #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/MulticastListenerTest/GNUmakefile.DCPS_Perf_MulticastListenerTest_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/MulticastListenerTest/GNUmakefile.DCPS_Perf_MulticastListenerTest_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Priority/GNUmakefile.Perf_Priority_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleE2ETest/GNUmakefile.DCPS_Perf_SimpleE2E_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleE2ETest/GNUmakefile.DCPS_Perf_SimpleE2E_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/GNUmakefile.DDS_SimpleLatency_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/SimpleLatency/GNUmakefile.DDS_SimpleLatency_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPListenerTest/GNUmakefile.DCPS_Perf_TCPListener_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPListenerTest/GNUmakefile.DCPS_Perf_TCPListener_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPProfilingTest/GNUmakefile.DCPS_Perf_TCPProfiling_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/UDPListenerTest/GNUmakefile.DCPS_Perf_UDPListener_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/UDPListenerTest/GNUmakefile.DCPS_Perf_UDPListener_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/UDPNoKeyTest/GNUmakefile.DCPS_Perf_UDPNoKey_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/UDPNoKeyTest/GNUmakefile.DCPS_Perf_UDPNoKey_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BitDataReader/GNUmakefile.BitDataReader #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/GNUmakefile.BuiltInTopic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopicTest/GNUmakefile.DDS_BuiltInTopicTest_Monitor #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopicTest/GNUmakefile.DDS_BuiltInTopicTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopicTest/GNUmakefile.DDS_BuiltInTopicTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/GNUmakefile.CompatibilityTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test1_main/GNUmakefile.idl_test1_main #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test3_main/GNUmakefile.idl_test3_main #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/isolated_types/GNUmakefile.DDS_Isolated_Types #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/namespace_conflict/GNUmakefile.DDS_Namespace_Conflict #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/sequence_conflict/GNUmakefile.DDS_Sequence_Conflict #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigFile/GNUmakefile.ConfigFile #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/GNUmakefile.ConfigTransports_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ContainsEntity/GNUmakefile.DDS_ContainsEntity #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/GNUmakefile.CorbaSeq_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/GNUmakefile.CorbaSeq_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DCPSInfoRepo/GNUmakefile.dcpsinfo_test_pubsub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/GNUmakefile.DDS_DPFactoryQos_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/GNUmakefile.DDS_DPFactoryQos_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DataRepresentation/GNUmakefile.DataRepresentation #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DcpsIntegration/GNUmakefile.dcps_integration_infrastructure #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/GNUmakefile.DDS_Deadline_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/GNUmakefile.DelayedDurable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DestinationOrder/GNUmakefile.DestinationOrder #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Dispose/GNUmakefile.Dispose #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DomainRange/GNUmakefile.DomainRangeTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DpShutdown/GNUmakefile.DDS_DpShutdown #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/GNUmakefile.DynamicData_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicResponse/GNUmakefile.DynamicResponse_Origin #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicResponse/GNUmakefile.DynamicResponse_Responder #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/GNUmakefile.XTypes_Dynamic_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/GNUmakefile.XTypes_Dynamic_Recorder #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/GNUmakefile.DDS_EntityLifeCycleStress_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/GNUmakefile.DDS_EntityLifeCycleStress_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Federation/GNUmakefile.Federation_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FindTopic/GNUmakefile.DDS_FindTopic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_0/GNUmakefile.FooTest3_0_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_2/GNUmakefile.FooTest3_2_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest4/GNUmakefile.DcpsFooTest4 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest4_0/GNUmakefile.DcpsFooTest4_0 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest5/GNUmakefile.DcpsFooTest5Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest5_0/GNUmakefile.DcpsFooTest5_0 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/GNUmakefile.HelloWorld_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/InconsistentTopic/GNUmakefile.DDS_InconsistentTopic_PublisherSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Instances/GNUmakefile.Instances_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Instances/GNUmakefile.Instances_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/InternalThreadStatus/GNUmakefile.InternalThreadStatusPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/GNUmakefile.DDS_KeyTest_IsBounded #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/GNUmakefile.DDS_KeyTest_KeyMarshalling #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LargeSample/GNUmakefile.DDS_LargeSample_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/GNUmakefile.DDS_LatencyBudget_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/GNUmakefile.DDS_LatencyBudget_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Lifespan/GNUmakefile.DDS_Lifespan_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Lifespan/GNUmakefile.DDS_Lifespan_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/GNUmakefile.LivelinessKeepAliveTestSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTest/GNUmakefile.LivelinessTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/GNUmakefile.LivelinessTimeout_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/GNUmakefile.DDS_ManualAssertLiveliness_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyToMany/GNUmakefile.DDS_ManyToMany_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyToMany/GNUmakefile.DDS_ManyToMany_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/GNUmakefile.ManyTopicMultiProcessSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/GNUmakefile.ManyTopicTestPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/GNUmakefile.ManyTopicTestSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/GNUmakefile.DDS_Messenger_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/GNUmakefile.DDS_Messenger_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MockedTypeSupport/GNUmakefile.MockedTypeSupport #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/GNUmakefile.Monitor_Messenger_Monitor #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/GNUmakefile.Monitor_Messenger_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/GNUmakefile.Monitor_Messenger_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDPTest/GNUmakefile.MultiDPTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDiscovery/GNUmakefile.MultiDiscoveryTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiRepoTest/GNUmakefile.MultiRepoTest_Monitor #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/NotifyTest/GNUmakefile.DDS_NotifyTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/NotifyTest/GNUmakefile.DDS_NotifyTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Observer/GNUmakefile.Observer_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/GNUmakefile.DDS_Partition_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/GNUmakefile.DDS_Partition_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/GNUmakefile.PersistentInfoRepo_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/GNUmakefile.PersistentInfoRepo_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Priority/GNUmakefile.DDS_Priority_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Prst_delayed_subscriber/GNUmakefile.DDS_Prst_Delayed_Subscriber_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Prst_delayed_subscriber/GNUmakefile.DDS_Prst_Delayed_Subscriber_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReadCondition/GNUmakefile.ReadCondition #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReaderDataLifecycle/GNUmakefile.ReaderDataLifecycle #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reconnect/GNUmakefile.Reconnect_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reconnect/GNUmakefile.Reconnect_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/GNUmakefile.DDS_RecorderLogging_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/GNUmakefile.DDS_RecorderLogging_Recorder #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/GNUmakefile.DDS_RecorderReplayer_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/GNUmakefile.DDS_RecorderReplayer_Relay #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/GNUmakefile.DDS_RecorderReplayer_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RegisterInstance/GNUmakefile.register_instance_topic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Rejects/GNUmakefile.DDS_Rejects_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Rejects/GNUmakefile.DDS_Rejects_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reliability/pub/GNUmakefile.Reliability_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Reliability/sub/GNUmakefile.Reliability_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/GNUmakefile.ReliableBestEffortReaders_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Restart/GNUmakefile.RestartTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/GNUmakefile.RtpsDiscovery #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDurableReplay/GNUmakefile.RtpsDurableReplay_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDurableReplay/GNUmakefile.RtpsDurableReplay_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsMessages/GNUmakefile.RtpsMessages #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SequenceIterator/GNUmakefile.SequenceIteratorTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer/GNUmakefile.SerializerTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer_wstring/GNUmakefile.Serializer_Wstring_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/GNUmakefile.SetQosDeadline_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/GNUmakefile.SetQosDeadline_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosPartition/GNUmakefile.SetQosPartition #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/GNUmakefile.SharedTransport #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SkipSerialize/GNUmakefile.DDS_SkipSerialize_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SkipSerialize/GNUmakefile.DDS_SkipSerialize_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/GNUmakefile.StaticDiscoveryReconnectTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StatusCondition/GNUmakefile.StatusCondition #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/GNUmakefile.DDS_StringKey_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/GNUmakefile.DDS_StringKey_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SubscriberCycle/GNUmakefile.SubscriberCycle_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TcpReconnect/GNUmakefile.DDS_TcpReconnect_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TcpReconnect/GNUmakefile.DDS_TcpReconnect_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/GNUmakefile.Thrasher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TimeBasedFilter/GNUmakefile.TimeBasedFilter #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TopicReuse/GNUmakefile.DDS_TopicReuse_TopicReuse #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TransientLocalMultiInstanceTest/GNUmakefile.DDS_TransientLocalMultiInstanceTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TransientLocalTest/GNUmakefile.DDS_TransientLocalTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TransientLocalTest/GNUmakefile.DDS_TransientLocalTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/UnregisterType/GNUmakefile.UnregisterTypeTest #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ViewState/GNUmakefile.ViewState #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAck/GNUmakefile.WaitForAck_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAckRace/GNUmakefile.WaitForAckRace_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAckRace/GNUmakefile.WaitForAckRace_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WriteDataContainer/GNUmakefile.WriteDataContainer #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/GNUmakefile.XTypes_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/GNUmakefile.ZeroCopyDRL_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/GNUmakefile.ZeroCopyDRL_StackSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyRead/GNUmakefile.ZeroCopyRead #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroEnum/GNUmakefile.ZeroEnum_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/sub_init_loop/GNUmakefile.Sub_Init_Loop_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/sub_init_loop/GNUmakefile.Sub_Init_Loop_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/CallbackAndReceive/Publisher/GNUmakefile.CallbackAndReceivePublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/CallbackAndReceive/Subscriber/GNUmakefile.CallbackAndReceiveSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test1_main/GNUmakefile.FACE_idl_test1_main #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test3_main/GNUmakefile.FACE_idl_test3_main #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/GetConnectionParameters/Publisher/GNUmakefile.GetConnectionParametersPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/GetConnectionParameters/Subscriber/GNUmakefile.GetConnectionParametersSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Publisher/GNUmakefile.FaceHeaderPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Subscriber/GNUmakefile.FaceHeaderSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/GNUmakefile.FaceMessengerPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/GNUmakefile.FaceMessengerSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Publisher/GNUmakefile.FaceMultiDomainMessengerPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Subscriber/GNUmakefile.FaceMultiDomainMessengerSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Publisher/GNUmakefile.FacePartitionPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Subscriber/GNUmakefile.FacePartitionSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Reliability/Publisher/GNUmakefile.FaceReliabilityPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Reliability/Subscriber/GNUmakefile.FaceReliabilitySubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/SingleProcessMessenger/SingleProcess/GNUmakefile.FaceMessengerSingleProcess #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/cmake/Nested_IDL/GNUmakefile.ECU #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/stress-tests/GNUmakefile.StressTests #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/GNUmakefile.DDS_Tests_Transport_Best_Effort_Reader_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/error_handling/GNUmakefile.error_handling #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/GNUmakefile.DDS_Transport_Rtps_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/GNUmakefile.DDS_Transport_Rtps_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/GNUmakefile.DDS_Transport_Rtps_Directed_Write_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_reliability/GNUmakefile.DDS_transport_rtps_reliability #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/simple/GNUmakefile.DDS_Transport_Simple_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/simple/GNUmakefile.DDS_Transport_Simple_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/spdp/GNUmakefile.DDS_transport_spdp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/unit-tests/GNUmakefile.UnitTests #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/dcpsinfo_dump/GNUmakefile.dcpsinfo_dump #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/inspect/GNUmakefile.Inspect #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger.minimal/GNUmakefile.MessengerMinimal_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/GNUmakefile.Messenger_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/GNUmakefile.Messenger_ZeroCopy_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/GNUmakefile.Messenger_ZeroCopy_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/FACE/Simple/GNUmakefile.FaceMessenger_Callbacksubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/FACE/Simple/GNUmakefile.FaceMessenger_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/FACE/Simple/GNUmakefile.FaceMessenger_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/InfoRepo/GNUmakefile.DCPSInfoRepo_Federator #

ln -s libOpenDDS_Federator.so.3.25.0-dev libOpenDDS_Federator.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/GNUmakefile.DDS_Messenger_Imr_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/GNUmakefile.InfoRepo_Population_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/Priority/GNUmakefile.Perf_Priority_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/TCPProfilingTest/GNUmakefile.DCPS_Perf_TCPProfiling_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/GNUmakefile.CompatibilityTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/GNUmakefile.ConfigTransports_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DcpsIntegration/GNUmakefile.dcps_integration_topic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/GNUmakefile.DDS_Deadline_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/GNUmakefile.DynamicData_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Federation/GNUmakefile.Federation_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_0/GNUmakefile.FooTest3_0_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_2/GNUmakefile.FooTest3_2_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest5/GNUmakefile.DcpsFooTest5Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/GNUmakefile.HelloWorld_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/InternalThreadStatus/GNUmakefile.InternalThreadStatusSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LargeSample/GNUmakefile.DDS_LargeSample_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/GNUmakefile.LivelinessKeepAliveTestPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/GNUmakefile.LivelinessTimeout_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/GNUmakefile.ManyTopicMultiProcessPublisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/GNUmakefile.DDS_Messenger_StackSubscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDPTest/GNUmakefile.MultiDPTest_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiRepoTest/GNUmakefile.MultiRepoTest_System #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Observer/GNUmakefile.Observer_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Priority/GNUmakefile.DDS_Priority_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/GNUmakefile.ReliableBestEffortReaders_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TransientLocalMultiInstanceTest/GNUmakefile.DDS_TransientLocalMultiInstanceTest_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer_wstring/GNUmakefile.Serializer_Wstring_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WaitForAck/GNUmakefile.WaitForAck_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/GNUmakefile.XTypes_Publisher #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/GNUmakefile.ZeroCopyDRL_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroEnum/GNUmakefile.ZeroEnum_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/GNUmakefile.DDS_Tests_Transport_Best_Effort_Reader_Pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/GNUmakefile.DDS_Transport_Rtps_Directed_Write_Sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/repoctl/GNUmakefile.repoctl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger.minimal/GNUmakefile.MessengerMinimal_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/GNUmakefile.Messenger_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/InfoRepo/GNUmakefile.DCPSInfoRepo_Serv #

ln -s libOpenDDS_InfoRepoServ.so.3.25.0-dev libOpenDDS_InfoRepoServ.so

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/GNUmakefile.InfoRepo_Population_Subscriber #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/dds/InfoRepo/GNUmakefile.DCPSInfoRepo_Main #


Test #

auto_run_tests

Wed Apr 19 18:57:38 2023 UTC

Running: perl tests/auto_run_tests.pl   -Config DDS_NO_OBJECT_MODEL_PROFILE -Config DDS_NO_OWNERSHIP_PROFILE -Config DDS_NO_PERSISTENCE_PROFILE -Config DDS_NO_CONTENT_SUBSCRIPTION -Config IPV6 in .
Test Lists: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/dcps_tests.lst
Configs: DDS_NO_OBJECT_MODEL_PROFILE, DDS_NO_OWNERSHIP_PROFILE, DDS_NO_PERSISTENCE_PROFILE, DDS_NO_CONTENT_SUBSCRIPTION, IPV6, Linux, RTPS
Excludes: 

==============================================================================

tools/scripts/modules/tests/command_utils.pl #

In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (string): "___this_really_should_be_invalid___"
Check that return value and exit status work as expected ======================
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "exit(2);"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "exit(0);"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print("Hello\n");"
Check that putting the ouput in a variable works ==============================
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");print("Hello\n");"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");print("Hello\n");"
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");print("Hello\n");"
Check that putting the output in a file works =================================
In "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tools/scripts/modules/tests" running (list):
 - "/usr/bin/perl"
 - "-e"
 - "print STDERR ("Goodbye\n");print("Hello\n");exit(1);"
Check that dump_on_failure works ==============================================

auto_run_tests_finished: tools/scripts/modules/tests/command_utils.pl Time:0s Result:0

==============================================================================

tools/scripts/modules/tests/ChangeDir.pl #

auto_run_tests_finished: tools/scripts/modules/tests/ChangeDir.pl Time:0s Result:0

==============================================================================

tests/DCPS/AddressLookup/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/AddressLookup/main  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile AddressLookup.log -DCPSPendingTimeout 3 
AddressLookup PID: 6923 started at 2023-04-19 13:57:39
========= Attempt 0....
DEBUG: address_info: There are 5 interfaces
DEBUG: address_info: Considering interface 0
DEBUG: address_info: Found IP interface 127.0.0.1
DEBUG: address_info: IP address 127.0.0.1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 1
DEBUG: address_info: Found IP interface 10.201.200.79
DEBUG: address_info: IP address 10.201.200.79 maps to hostname flea.ociweb.com
DEBUG: hostname_to_ip: Resolving IP addresses from hostname flea.ociweb.com
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 10.201.200.79

DEBUG: address_info: Considering interface 2
DEBUG: address_info: Found IP interface 192.168.122.1
WARNING: address_info: Failed to get FQDN

DEBUG: address_info: Considering interface 3
DEBUG: address_info: Found IP interface ::1
DEBUG: address_info: IP address ::1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 4
DEBUG: address_info: Found IP interface fe80::20c:29ff:fe7a:35f2%2
WARNING: address_info: Failed to get FQDN


========= Attempt 1....
DEBUG: address_info: There are 5 interfaces
DEBUG: address_info: Considering interface 0
DEBUG: address_info: Found IP interface 127.0.0.1
DEBUG: address_info: IP address 127.0.0.1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 1
DEBUG: address_info: Found IP interface 10.201.200.79
DEBUG: address_info: IP address 10.201.200.79 maps to hostname flea.ociweb.com
DEBUG: hostname_to_ip: Resolving IP addresses from hostname flea.ociweb.com
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 10.201.200.79

DEBUG: address_info: Considering interface 2
DEBUG: address_info: Found IP interface 192.168.122.1
WARNING: address_info: Failed to get FQDN

DEBUG: address_info: Considering interface 3
DEBUG: address_info: Found IP interface ::1
DEBUG: address_info: IP address ::1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 4
DEBUG: address_info: Found IP interface fe80::20c:29ff:fe7a:35f2%2
WARNING: address_info: Failed to get FQDN


========= Attempt 2....
DEBUG: address_info: There are 5 interfaces
DEBUG: address_info: Considering interface 0
DEBUG: address_info: Found IP interface 127.0.0.1
DEBUG: address_info: IP address 127.0.0.1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 1
DEBUG: address_info: Found IP interface 10.201.200.79
DEBUG: address_info: IP address 10.201.200.79 maps to hostname flea.ociweb.com
DEBUG: hostname_to_ip: Resolving IP addresses from hostname flea.ociweb.com
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 10.201.200.79

DEBUG: address_info: Considering interface 2
DEBUG: address_info: Found IP interface 192.168.122.1
WARNING: address_info: Failed to get FQDN

DEBUG: address_info: Considering interface 3
DEBUG: address_info: Found IP interface ::1
DEBUG: address_info: IP address ::1 maps to hostname localhost
DEBUG: hostname_to_ip: Resolving IP addresses from hostname localhost
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 28, curr->ai_addr size is 16, curr family is 10
DEBUG: print_addr: ==== IP address: ::1
DEBUG: hostname_to_ip: ip46.in6_ size is 28
DEBUG: hostname_to_ip: addr size is 28, curr addrinfo size is 48, curr->ai_addrlen is 16, curr->ai_addr size is 16, curr family is 2
DEBUG: print_addr: ==== IP address: 127.0.0.1

DEBUG: address_info: Considering interface 4
DEBUG: address_info: Found IP interface fe80::20c:29ff:fe7a:35f2%2
WARNING: address_info: Failed to get FQDN


test PASSED.

auto_run_tests_finished: tests/DCPS/AddressLookup/run_test.pl Time:14s Result:0

==============================================================================

tests/DCPS/Prst_delayed_subscriber/run_test.pl #

Spawning first DCPSInfoRepo.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS  -o repo.ior -ORBSvcConf mySvc.conf -orbendpoint iiop://:13092
Spawning publisher.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Prst_delayed_subscriber/publisher -DCPSBit 0  -DCPSConfigFile pub.ini
(6934|6934) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
(6934|6934) Writer::start
(6934|6939) Writer::svc begins.
(6934|6939)  13:57:53.913144 Writer::svc starting to write.
Spawning first subscriber.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Prst_delayed_subscriber/subscriber -DCPSBit 0  -DCPSConfigFile sub.ini
(6940|6940) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
First Subscriber complete.
Killing first DCPSInfoRepo.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
Spawning second DCPSInfoRepo.
Spawning second subscriber.
(6952|6952) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
(6934|6939) Writer::svc finished.
(6934|6934) Writer::end
Second Subscriber complete.
Publisher killed.
Killing second DCPSInfoRepo.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Prst_delayed_subscriber/run_test.pl Time:12s Result:0

==============================================================================

tests/DCPS/ZeroCopyRead/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 6958
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyRead/main -DcpsBit 0 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile main.log -DCPSPendingTimeout 3 
main PID: 6965 started at 2023-04-19 13:58:05
(6965|6965) zero-copy read test main
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ZeroCopyRead/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/ZeroCopyRead/run_test.pl by_instance #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 6971
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyRead/main -DcpsBit 0 -i -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile main.log -DCPSPendingTimeout 3 
main PID: 6978 started at 2023-04-19 13:58:06
(6978|6978) zero-copy read test main
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ZeroCopyRead/run_test.pl by_instance Time:0s Result:0

==============================================================================

tests/DCPS/ZeroCopyDataReaderListener/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 6984
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/publisher -ORBDebugLevel 1   -DCPSPendingTimeout 2 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile pub.log -DCPSConfigFile tcp.ini 
pub PID: 6991 started at 2023-04-19 13:58:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroCopyDataReaderListener/subscriber -ORBDebugLevel 1  -DCPSPendingTimeout 2 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile sub.log -DCPSConfigFile tcp.ini 
sub PID: 6992 started at 2023-04-19 13:58:07
(6984|6984) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ZeroCopyDataReaderListener/run_test.pl Time:2s Result:0

==============================================================================

tests/DCPS/DCPSInfoRepo/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o dcps_ir.ior
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DCPSInfoRepo/pubsub -k file://dcps_ir.ior -q
pubsub test
2nd topic assertion CREATED a topic
adding matching subscription

TAO_DDS_DCPSDataWriter_i::add_associations () :
	Writer 01030000.5bc419ce.00000001.00000102(6efc1a68) Adding association to a reader:
	 reader id - 01030000.5bc419ce.00000002.00000107(59369437)
	 transport_id - fake transport for test

TAO_DDS_DCPSDataReader_i::add_associations () :
	Reader 01030000.5bc419ce.00000002.00000107(59369437) Adding association to writer:
	 writer id - 01030000.5bc419ce.00000001.00000102(6efc1a68)
	 transport_id - fake transport for test

adding incompatible publication

!!! TAO_DDS_DCPSDataReader_i::update_incompatible_qos () :
	2 new incompatible DataWriters 2  total
	Last incompatible QOS policy was 23
	Policy - 11	count - 1
	Policy - 23	count - 1

!!! TAO_DDS_DCPSDataWriter_i::update_incompatible_qos () :
	2 new incompatible DataReaders 2  total
	Last incompatible QOS policy was 23
	Policy - 11	count - 1
	Policy - 23	count - 1

TAO_DDS_DCPSDataWriter_i::remove_associations () :
	Removing association to 1 readers:
	Association - 0
	 RepoId - 01030000.5bc419ce.00000002.00000107(59369437)
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15

auto_run_tests_finished: tests/DCPS/DCPSInfoRepo/run_test.pl Time:2s Result:0

==============================================================================

tests/DCPS/DCPSInfoRepo/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DCPSInfoRepo/pubsub -r -q
pubsub test
2nd topic assertion FOUND a topic
adding matching subscription

TAO_DDS_DCPSDataWriter_i::add_associations () :
	Writer 0103000c.297a35f2.1b6bcaf6.00000003(20b5bccf) Adding association to a reader:
	 reader id - 0103000c.297a35f2.1b6bcaf7.00000004(83b100dc)
	 transport_id - fake transport for test

TAO_DDS_DCPSDataReader_i::add_associations () :
	Reader 0103000c.297a35f2.1b6bcaf7.00000004(83b100dc) Adding association to writer:
	 writer id - 0103000c.297a35f2.1b6bcaf6.00000003(20b5bccf)
	 transport_id - fake transport for test

adding incompatible publication

!!! TAO_DDS_DCPSDataWriter_i::update_incompatible_qos () :
	2 new incompatible DataReaders 2  total
	Last incompatible QOS policy was 23
	Policy - 11	count - 1
	Policy - 23	count - 1

!!! TAO_DDS_DCPSDataReader_i::update_incompatible_qos () :
	1 new incompatible DataWriters 1  total
	Last incompatible QOS policy was 11
	Policy - 11	count - 1

auto_run_tests_finished: tests/DCPS/DCPSInfoRepo/run_test.pl rtps_disc Time:2s Result:0

==============================================================================

tests/DCPS/MockedTypeSupport/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7026
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MockedTypeSupport/MockedTypeSupport -ORBLogFile test.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
ut PID: 7033 started at 2023-04-19 13:58:12
(7026|7026) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/MockedTypeSupport/run_test.pl Time:6s Result:0

==============================================================================

tests/DCPS/FooTest3_2/run_test.pl bp_remove #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -NOBITS
InfoRepo PID: 7041
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_2/FooTest3_publisher  -t 1 -w 1 -m 0 -i 100  -n 12345678 -d 1 -l 0 -r 1  -b 0  -DCPSBit 0 
publisher PID: 7048 started at 2023-04-19 13:58:18
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FooTest3_2/FooTest3_subscriber  -n 100 -l 100 -DCPSBit 0 
subscriber PID: 7049 started at 2023-04-19 13:58:18
0x1
(7048|7048) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7049|7049) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7048|7048) Writer::start
(7048|7058) Writer::svc
DataReaderListener.cpp:105: INFO: on_subscription_matched()
DataReaderListener.cpp:98: INFO: on_liveliness_changed()
DataReaderListener.cpp:98: INFO: on_liveliness_changed()
DataReaderListener.cpp:105: INFO: on_subscription_matched()
(7048|7048) PubDriver::end
(7048|7048) Writer::end
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/FooTest3_2/run_test.pl bp_remove Time:2s Result:0

==============================================================================

tests/DCPS/FindTopic/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FindTopic/findtopic  -DCPSPendingTimeout 3 
findtopic PID: 7060 started at 2023-04-19 13:58:20
findtopic.cpp:144 main() using Local Discovery
test PASSED.

auto_run_tests_finished: tests/DCPS/FindTopic/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/FindTopic/run_test.pl rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/FindTopic/findtopic rtps -DCPSPendingTimeout 3 
findtopic PID: 7065 started at 2023-04-19 13:58:20
findtopic.cpp:141 main() using RTPS Discovery
test PASSED.

auto_run_tests_finished: tests/DCPS/FindTopic/run_test.pl rtps Time:0s Result:0

==============================================================================

tests/DCPS/InconsistentTopic/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/InconsistentTopic/pubsub  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pubsub.log -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pubsub PID: 7072 started at 2023-04-19 13:58:20
Waiting for participant 2 to discover topic from participant 1 
test PASSED.

auto_run_tests_finished: tests/DCPS/InconsistentTopic/run_test.pl rtps_disc Time:1s Result:0

==============================================================================

tests/DCPS/TopicReuse/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TopicReuse/tpreuse  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile tpreuse.log -DCPSPendingTimeout 3 
tpreuse PID: 7083 started at 2023-04-19 13:58:21
test PASSED.

auto_run_tests_finished: tests/DCPS/TopicReuse/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/DpShutdown/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DpShutdown/dpshutdown  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile dpshutdown.log -DCPSPendingTimeout 3 
dpshutdown PID: 7092 started at 2023-04-19 13:58:22
test PASSED.

auto_run_tests_finished: tests/DCPS/DpShutdown/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/ContainsEntity/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ContainsEntity/containsentity   -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile containsentity.log -DCPSPendingTimeout 3 
containsentity PID: 7103 started at 2023-04-19 13:58:22
test PASSED.

auto_run_tests_finished: tests/DCPS/ContainsEntity/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Serializer/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer/SerializerTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile SerializerTest.log -DCPSPendingTimeout 3 
SerializerTest PID: 7114 started at 2023-04-19 13:58:22
Size of Values: 112
Size of ArrayValues: 976


*** Unaligned CDR, little-endian (native)

STARTING INSERTION OF SINGLE VALUES WITHOUT SWAPPING

BYTES WRITTEN: 354
01 11                                              ..              

45 23 ef                                           E#.             

cd ab 67 ef                                        ..g.            

cd ab 89 67 45                                     ...gE           

23 01 22 23 01 ab                                  #."#..          

89 67 45 ab 89 67 45                               .gE..gE         

23 01 ef cd cd cc cc 3d                            #......=        

9a 99 99 99 99 99 c9 3f  67                        .......?g       

45 23 01 ef cd ab 89 3e  40 00                     E#.....>@.      

00 00 00 00 00 1a b2 00  2c 00 00 00 54 68 69 73   ........,...This
20 69 73 20 61 20 74 65  73                         is a tes       

74 20 6f 66 20 74 68 65  20 73 74 72 69 6e 67 20   t of the string 
73 65 72 69 61 6c 69 7a  61 74 69 6f 6e 2e         serialization.  

00 30 00 00 00 54 68 69  73 20 69 73 20 61 20 74   .0...This is a t
65 73 74 20 6f 66 20 74  68 65 20 73 74 64 20 73   est of the std s
74 72 69                                           tri             

6e 67 20 73 65 72 69 61  6c 69 7a 61 74 69 6f 6e   ng serialization
2e 00 58 00 00 00 54 00  68 00 69 00 73 00 20 00   ..X...T.h.i.s. .
69 00 73 00 20 00 61 00                            i.s. .a.        

20 00 74 00 65 00 73 00  74 00 20 00 6f 00 66 00    .t.e.s.t. .o.f.
20 00 74 00 68 00 65 00  20 00 77 00 73 00 74 00    .t.h.e. .w.s.t.
72 00 69 00 6e 00 67 00  20 00 73 00 65            r.i.n.g. .s.e   

00 72 00 69 00 61 00 6c  00 69 00 7a 00 61 00 74   .r.i.a.l.i.z.a.t
00 69 00 6f 00 6e 00 2e  00 60 00 00 00 54 00 68   .i.o.n...`...T.h
00 69 00 73 00 20 00 69  00 73 00 20 00 61 00 20   .i.s. .i.s. .a. 
00 74                                              .t              

00 65 00 73 00 74 00 20  00 6f 00 66 00 20 00 74   .e.s.t. .o.f. .t
00 68 00 65 00 20 00 73  00 74 00 64 00 20 00 77   .h.e. .s.t.d. .w
00 73 00 74 00 72 00 69  00 6e 00 67 00 20 00 73   .s.t.r.i.n.g. .s
00 65 00 72 00 69 00 61  00 6c 00 69 00 7a 00 61   .e.r.i.a.l.i.z.a
00 74 00 69 00 6f 00 6e  00 2e 00                  .t.i.o.n...     

EXTRACTING SINGLE VALUES WITHOUT SWAPPING

STARTING INSERTION OF ARRAY VALUES WITHOUT SWAPPING

BYTES WRITTEN: 930
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 01 00 02                            ........        

00 03 00 04 00 05 00 06  00                        .........       

07 00 08 00 09 00 0a 00  0b 00                     ..........      

0c 00 0d 00 0e 00 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f ef cd ab 89 67   ...............g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01                                           E#.             

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01                            ....gE#.        

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67            ....gE#.....g   

45 23 01 ef cd ab 89 67  45 23 01 dd dd df df dd   E#.....gE#......
dd df df dd dd df df dd  dd df ff ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff f0 f0  f0 f0 f1 f0 f0 f0 f2 f0   ................
f0 f0 f3 f0 f0 f0 f4 f0  f0 f0 f5 f0 f0 f0 f6 f0   ................
f0 f0 f7 f0 f0 f0 f8 f0  f0 f0 f9 f0 f0 f0 fa f0   ................
f0 f0 fb f0 f0 f0 fc f0  f0 f0 fd f0 f0 f0 fe f0   ................
f0 f0 ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd 00 00 00 00 00 00   ....gE#.........
80 3f 00 00 00 3f ab aa  aa 3e 00 00 80 3e cd cc   .?...?...>...>..
4c 3e ab aa 2a 3e 25 49  12 3e 00 00 00 3e 39 8e   L>..*>%I.>...>9.
e3 3d cd cc cc 3d 8c 2e  ba 3d ab aa aa 3d d9 89   .=...=...=...=..
9d 3d 25 49 92 3d 00 00  00 00 00 00 00 00 00 00   .=%I.=..........
00 00 00 00 08 40 00 00  00 00 00 00 f8 3f 00 00   .....@.......?..
00 00 00 00 f0 3f 00 00  00 00 00 00 e8 3f 33 33   .....?.......?33
33 33 33 33 e3 3f 00 00  00 00 00 00 e0 3f db b6   3333.?.......?..
6d db b6 6d db 3f 00 00  00 00 00 00 d8 3f 55 55   m..m.?.......?UU
55 55 55 55 d5 3f 33 33  33 33 33 33 d3 3f 74 d1   UUUU.?333333.?t.
45 17 5d 74 d1 3f 00 00  00 00 00 00 d0 3f 9e d8   E.]t.?.......?..
89 9d d8 89 cd 3f db b6  6d db b6 6d cb 3f 67 45   .....?..m..m.?gE
23 01 ef cd ab 89 3e 40  00 00 01 00 00 00 67 45   #.....>@......gE

23 01 ef cd ab 89 3e 40  00 00 39 7f 00 00 67 45   #.....>@..9...gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 fe 7f 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 39 7f 00 00 67 45   #.....>@..9...gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 39 7f 00 00 67 45   #.....>@..9...gE
23 01 ef cd ab 89 3e 40  00 00 00 00 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 fe 7f 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 fe 7f 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 3b 00 00 00 67 45   #.....>@..;...gE
23 01 ef cd ab 89 3e 40  00 00 01 00 00 00 67 45   #.....>@......gE
23 01 ef cd ab 89 3e 40  00 00 00 00 00 00 00 01   #.....>@........
02 03 04 05 06 07 08 09  0a 0b 0c 0d 0e 00 00 01   ................
00 02 00 03 00 04 00 05  00 06 00 07 00 08 00 09   ................
00 0a 00 0b 00 0c 00 0d  00 0e 00                  ...........     

EXTRACTING ARRAY VALUES WITHOUT SWAPPING


*** Unaligned CDR, big-endian (non-native)

STARTING INSERTION OF SINGLE VALUES WITH SWAPPING

BYTES WRITTEN: 354
01 11                                              ..              

23 45 67                                           #Eg             

ab cd ef 01                                        ....            

23 45 67 89 ab                                     #Eg..           

cd ef 22 01 23 45                                  ..".#E          

67 89 ab cd ef 01 23                               g.....#         

45 67 89 ab 3d cc cc cd                            Eg..=...        

3f c9 99 99 99 99 99 9a  00                        ?........       

00 00 00 00 00 40 3e 89  ab cd                     .....@>...      

ef 01 23 45 67 1a 00 b2  00 00 00 2c 54 68 69 73   ..#Eg......,This
20 69 73 20 61 20 74 65  73                         is a tes       

74 20 6f 66 20 74 68 65  20 73 74 72 69 6e 67 20   t of the string 
73 65 72 69 61 6c 69 7a  61 74 69 6f 6e 2e         serialization.  

00 00 00 00 30 54 68 69  73 20 69 73 20 61 20 74   ....0This is a t
65 73 74 20 6f 66 20 74  68 65 20 73 74 64 20 73   est of the std s
74 72 69                                           tri             

6e 67 20 73 65 72 69 61  6c 69 7a 61 74 69 6f 6e   ng serialization
2e 00 00 00 00 58 00 54  00 68 00 69 00 73 00 20   .....X.T.h.i.s. 
00 69 00 73 00 20 00 61                            .i.s. .a        

00 20 00 74 00 65 00 73  00 74 00 20 00 6f 00 66   . .t.e.s.t. .o.f
00 20 00 74 00 68 00 65  00 20 00 77 00 73 00 74   . .t.h.e. .w.s.t
00 72 00 69 00 6e 00 67  00 20 00 73 00            .r.i.n.g. .s.   

65 00 72 00 69 00 61 00  6c 00 69 00 7a 00 61 00   e.r.i.a.l.i.z.a.
74 00 69 00 6f 00 6e 00  2e 00 00 00 60 00 54 00   t.i.o.n.....`.T.
68 00 69 00 73 00 20 00  69 00 73 00 20 00 61 00   h.i.s. .i.s. .a.
20 00                                               .              

74 00 65 00 73 00 74 00  20 00 6f 00 66 00 20 00   t.e.s.t. .o.f. .
74 00 68 00 65 00 20 00  73 00 74 00 64 00 20 00   t.h.e. .s.t.d. .
77 00 73 00 74 00 72 00  69 00 6e 00 67 00 20 00   w.s.t.r.i.n.g. .
73 00 65 00 72 00 69 00  61 00 6c 00 69 00 7a 00   s.e.r.i.a.l.i.z.
61 00 74 00 69 00 6f 00  6e 00 2e                  a.t.i.o.n..     

EXTRACTING SINGLE VALUES WITH SWAPPING

STARTING INSERTION OF ARRAY VALUES WITH SWAPPING

BYTES WRITTEN: 930
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 00 01 00                            ........        

02 00 03 00 04 00 05 00  06                        .........       

00 07 00 08 00 09 00 0a  00 0b                     ..........      

00 0c 00 0d 00 0e 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 01 23 45 67 89   ............#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef                                           ...             

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef                            .#Eg....        

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89            .#Eg.....#Eg.   

ab cd ef 01 23 45 67 89  ab cd ef dd dd df df dd   ....#Eg.........
dd df df dd dd df df dd  dd df ff ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff f0 f0  f0 f0 f0 f0 f0 f1 f0 f0   ................
f0 f2 f0 f0 f0 f3 f0 f0  f0 f4 f0 f0 f0 f5 f0 f0   ................
f0 f6 f0 f0 f0 f7 f0 f0  f0 f8 f0 f0 f0 f9 f0 f0   ................
f0 fa f0 f0 f0 fb f0 f0  f0 fc f0 f0 f0 fd f0 f0   ................
f0 fe cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg

89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab cd ef 01 23 45 67   .....#Eg.....#Eg
89 ab cd ef 01 23 45 67  89 ab 00 00 00 00 3f 80   .....#Eg......?.
00 00 3f 00 00 00 3e aa  aa ab 3e 80 00 00 3e 4c   ..?...>...>...>L
cc cd 3e 2a aa ab 3e 12  49 25 3e 00 00 00 3d e3   ..>*..>.I%>...=.
8e 39 3d cc cc cd 3d ba  2e 8c 3d aa aa ab 3d 9d   .9=...=...=...=.
89 d9 3d 92 49 25 00 00  00 00 00 00 00 00 40 08   ..=.I%........@.
00 00 00 00 00 00 3f f8  00 00 00 00 00 00 3f f0   ......?.......?.
00 00 00 00 00 00 3f e8  00 00 00 00 00 00 3f e3   ......?.......?.
33 33 33 33 33 33 3f e0  00 00 00 00 00 00 3f db   333333?.......?.
6d b6 db 6d b6 db 3f d8  00 00 00 00 00 00 3f d5   m..m..?.......?.
55 55 55 55 55 55 3f d3  33 33 33 33 33 33 3f d1   UUUUUU?.333333?.
74 5d 17 45 d1 74 3f d0  00 00 00 00 00 00 3f cd   t].E.t?.......?.
89 d8 9d 89 d8 9e 3f cb  6d b6 db 6d b6 db 00 00   ......?.m..m....
00 01 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..

7f 39 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .9..@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
7f fe 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
7f 39 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .9..@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
7f 39 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .9..@>.....#Eg..
00 00 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
7f fe 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
7f fe 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
00 3b 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   .;..@>.....#Eg..
00 01 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 00   ....@>.....#Eg..
00 00 00 00 40 3e 89 ab  cd ef 01 23 45 67 00 01   ....@>.....#Eg..
02 03 04 05 06 07 08 09  0a 0b 0c 0d 0e 00 00 00   ................
01 00 02 00 03 00 04 00  05 00 06 00 07 00 08 00   ................
09 00 0a 00 0b 00 0c 00  0d 00 0e                  ...........     

EXTRACTING ARRAY VALUES WITH SWAPPING


*** CDR/XCDR1, little-endian (native)

STARTING INSERTION OF SINGLE VALUES WITHOUT SWAPPING

BYTES WRITTEN: 360
01 11                                              ..              

45 23 ef                                           E#.             

cd ab 67 ef                                        ..g.            

cd ab 89 67 45                                     ...gE           

23 01 22 00 23 01                                  #.".#.          

ab 89 67 45 ab 89 67                               ..gE..g         

45 23 01 ef cd cd cc cc                            E#......        

3d 00 00 00 00 9a 99 99  99                        =........       

99 99 c9 3f 67 45 23 01  ef cd                     ...?gE#...      

ab 89 3e 40 00 00 00 00  00 00 1a 00 b2 00 2c 00   ..>@..........,.
00 00 54 68 69 73 20 69  73                        ..This is       

20 61 20 74 65 73 74 20  6f 66 20 74 68 65 20 73    a test of the s
74 72 69 6e 67 20 73 65  72 69 61 6c 69 7a         tring serializ  

61 74 69 6f 6e 2e 00 30  00 00 00 54 68 69 73 20   ation..0...This 
69 73 20 61 20 74 65 73  74 20 6f 66 20 74 68 65   is a test of the
20 73 74                                            st             

64 20 73 74 72 69 6e 67  20 73 65 72 69 61 6c 69   d string seriali
7a 61 74 69 6f 6e 2e 00  58 00 00 00 54 00 68 00   zation..X...T.h.
69 00 73 00 20 00 69 00                            i.s. .i.        

73 00 20 00 61 00 20 00  74 00 65 00 73 00 74 00   s. .a. .t.e.s.t.
20 00 6f 00 66 00 20 00  74 00 68 00 65 00 20 00    .o.f. .t.h.e. .
77 00 73 00 74 00 72 00  69 00 6e 00 67            w.s.t.r.i.n.g   

00 20 00 73 00 65 00 72  00 69 00 61 00 6c 00 69   . .s.e.r.i.a.l.i
00 7a 00 61 00 74 00 69  00 6f 00 6e 00 2e 00 60   .z.a.t.i.o.n...`
00 00 00 54 00 68 00 69  00 73 00 20 00 69 00 73   ...T.h.i.s. .i.s
00 20                                              .               

00 61 00 20 00 74 00 65  00 73 00 74 00 20 00 6f   .a. .t.e.s.t. .o
00 66 00 20 00 74 00 68  00 65 00 20 00 73 00 74   .f. .t.h.e. .s.t
00 64 00 20 00 77 00 73  00 74 00 72 00 69 00 6e   .d. .w.s.t.r.i.n
00 67 00 20 00 73 00 65  00 72 00 69 00 61 00 6c   .g. .s.e.r.i.a.l
00 69 00 7a 00 61 00 74  00 69 00 6f 00 6e 00 2e   .i.z.a.t.i.o.n..
00                                                 .               

EXTRACTING SINGLE VALUES WITHOUT SWAPPING

STARTING INSERTION OF ARRAY VALUES WITHOUT SWAPPING

BYTES WRITTEN: 942
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 01 00 02                            ........        

00 03 00 04 00 05 00 06  00                        .........       

07 00 08 00 09 00 0a 00  0b 00                     ..........      

0c 00 0d 00 0e 00 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f ef cd ab 89 67   ...............g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01                                           E#.             

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01                            ....gE#.        

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67            ....gE#.....g   

45 23 01 ef cd ab 89 67  45 23 01 dd dd df df dd   E#.....gE#......
dd df df dd dd df df dd  dd df 00 ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff ff 00  00 f0 f0 f0 f0 f1 f0 f0   ................
f0 f2 f0 f0 f0 f3 f0 f0  f0 f4 f0 f0 f0 f5 f0 f0   ................
f0 f6 f0 f0 f0 f7 f0 f0  f0 f8 f0 f0 f0 f9 f0 f0   ................
f0 fa f0 f0 f0 fb f0 f0  f0 fc f0 f0 f0 fd f0 f0   ................
f0 fe f0 f0 f0 00 00 00  00 ab 89 67 45 23 01 ef   ...........gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..

cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd ab 89 67 45 23 01 ef  cd ab 89 67 45 23 01 ef   ...gE#.....gE#..
cd 00 00 00 00 00 00 80  3f 00 00 00 3f ab aa aa   ........?...?...
3e 00 00 80 3e cd cc 4c  3e ab aa 2a 3e 25 49 12   >...>..L>..*>%I.
3e 00 00 00 3e 39 8e e3  3d cd cc cc 3d 8c 2e ba   >...>9..=...=...
3d ab aa aa 3d d9 89 9d  3d 25 49 92 3d 00 00 00   =...=...=%I.=...
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 08   ................
40 00 00 00 00 00 00 f8  3f 00 00 00 00 00 00 f0   @.......?.......
3f 00 00 00 00 00 00 e8  3f 33 33 33 33 33 33 e3   ?.......?333333.
3f 00 00 00 00 00 00 e0  3f db b6 6d db b6 6d db   ?.......?..m..m.
3f 00 00 00 00 00 00 d8  3f 55 55 55 55 55 55 d5   ?.......?UUUUUU.
3f 33 33 33 33 33 33 d3  3f 74 d1 45 17 5d 74 d1   ?333333.?t.E.]t.
3f 00 00 00 00 00 00 d0  3f 9e d8 89 9d d8 89 cd   ?.......?.......
3f db b6 6d db b6 6d cb  3f 67 45 23 01 ef cd ab   ?..m..m.?gE#....

89 3e 40 00 00 01 00 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 39 7f 00  00 67 45 23 01 ef cd ab   .>@..9...gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 fe 7f 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 39 7f 00  00 67 45 23 01 ef cd ab   .>@..9...gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 39 7f 00  00 67 45 23 01 ef cd ab   .>@..9...gE#....
89 3e 40 00 00 00 00 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 fe 7f 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 fe 7f 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 3b 00 00  00 67 45 23 01 ef cd ab   .>@..;...gE#....
89 3e 40 00 00 01 00 00  00 67 45 23 01 ef cd ab   .>@......gE#....
89 3e 40 00 00 00 00 00  00 00 01 02 03 04 05 06   .>@.............
07 08 09 0a 0b 0c 0d 0e  00 00 00 01 00 02 00 03   ................
00 04 00 05 00 06 00 07  00 08 00 09 00 0a 00 0b   ................
00 0c 00 0d 00 0e 00                               .......         

EXTRACTING ARRAY VALUES WITHOUT SWAPPING


*** CDR/XCDR1, big-endian (non-native)

STARTING INSERTION OF SINGLE VALUES WITH SWAPPING

BYTES WRITTEN: 360
01 11                                              ..              

23 45 67                                           #Eg             

ab cd ef 01                                        ....            

23 45 67 89 ab                                     #Eg..           

cd ef 22 00 01 23                                  .."..#          

45 67 89 ab cd ef 01                               Eg.....         

23 45 67 89 ab 3d cc cc                            #Eg..=..        

cd 00 00 00 00 3f c9 99  99                        .....?...       

99 99 99 9a 00 00 00 00  00 00                     ..........      

40 3e 89 ab cd ef 01 23  45 67 1a 00 00 b2 00 00   @>.....#Eg......
00 2c 54 68 69 73 20 69  73                        .,This is       

20 61 20 74 65 73 74 20  6f 66 20 74 68 65 20 73    a test of the s
74 72 69 6e 67 20 73 65  72 69 61 6c 69 7a         tring serializ  

61 74 69 6f 6e 2e 00 00  00 00 30 54 68 69 73 20   ation.....0This 
69 73 20 61 20 74 65 73  74 20 6f 66 20 74 68 65   is a test of the
20 73 74                                            st             

64 20 73 74 72 69 6e 67  20 73 65 72 69 61 6c 69   d string seriali
7a 61 74 69 6f 6e 2e 00  00 00 00 58 00 54 00 68   zation.....X.T.h
00 69 00 73 00 20 00 69                            .i.s. .i        

00 73 00 20 00 61 00 20  00 74 00 65 00 73 00 74   .s. .a. .t.e.s.t
00 20 00 6f 00 66 00 20  00 74 00 68 00 65 00 20   . .o.f. .t.h.e. 
00 77 00 73 00 74 00 72  00 69 00 6e 00            .w.s.t.r.i.n.   

67 00 20 00 73 00 65 00  72 00 69 00 61 00 6c 00   g. .s.e.r.i.a.l.
69 00 7a 00 61 00 74 00  69 00 6f 00 6e 00 2e 00   i.z.a.t.i.o.n...
00 00 60 00 54 00 68 00  69 00 73 00 20 00 69 00   ..`.T.h.i.s. .i.
73 00                                              s.              

20 00 61 00 20 00 74 00  65 00 73 00 74 00 20 00    .a. .t.e.s.t. .
6f 00 66 00 20 00 74 00  68 00 65 00 20 00 73 00   o.f. .t.h.e. .s.
74 00 64 00 20 00 77 00  73 00 74 00 72 00 69 00   t.d. .w.s.t.r.i.
6e 00 67 00 20 00 73 00  65 00 72 00 69 00 61 00   n.g. .s.e.r.i.a.
6c 00 69 00 7a 00 61 00  74 00 69 00 6f 00 6e 00   l.i.z.a.t.i.o.n.
2e                                                 .               

EXTRACTING SINGLE VALUES WITH SWAPPING

STARTING INSERTION OF ARRAY VALUES WITH SWAPPING

BYTES WRITTEN: 942
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 00 01 00                            ........        

02 00 03 00 04 00 05 00  06                        .........       

00 07 00 08 00 09 00 0a  00 0b                     ..........      

00 0c 00 0d 00 0e 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 01 23 45 67 89   ............#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef                                           ...             

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef                            .#Eg....        

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89            .#Eg.....#Eg.   

ab cd ef 01 23 45 67 89  ab cd ef dd dd df df dd   ....#Eg.........
dd df df dd dd df df dd  dd df 00 ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff ff 00  00 f0 f0 f0 f0 f0 f0 f0   ................
f1 f0 f0 f0 f2 f0 f0 f0  f3 f0 f0 f0 f4 f0 f0 f0   ................
f5 f0 f0 f0 f6 f0 f0 f0  f7 f0 f0 f0 f8 f0 f0 f0   ................
f9 f0 f0 f0 fa f0 f0 f0  fb f0 f0 f0 fc f0 f0 f0   ................
fd f0 f0 f0 fe 00 00 00  00 cd ef 01 23 45 67 89   ............#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.

ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab 00 00 00 00 3f 80 00  00 3f 00 00 00 3e aa aa   .....?...?...>..
ab 3e 80 00 00 3e 4c cc  cd 3e 2a aa ab 3e 12 49   .>...>L..>*..>.I
25 3e 00 00 00 3d e3 8e  39 3d cc cc cd 3d ba 2e   %>...=..9=...=..
8c 3d aa aa ab 3d 9d 89  d9 3d 92 49 25 00 00 00   .=...=...=.I%...
00 00 00 00 00 00 00 00  00 40 08 00 00 00 00 00   .........@......
00 3f f8 00 00 00 00 00  00 3f f0 00 00 00 00 00   .?.......?......
00 3f e8 00 00 00 00 00  00 3f e3 33 33 33 33 33   .?.......?.33333
33 3f e0 00 00 00 00 00  00 3f db 6d b6 db 6d b6   3?.......?.m..m.
db 3f d8 00 00 00 00 00  00 3f d5 55 55 55 55 55   .?.......?.UUUUU
55 3f d3 33 33 33 33 33  33 3f d1 74 5d 17 45 d1   U?.333333?.t].E.
74 3f d0 00 00 00 00 00  00 3f cd 89 d8 9d 89 d8   t?.......?......
9e 3f cb 6d b6 db 6d b6  db 00 00 00 01 00 00 40   .?.m..m........@

3e 89 ab cd ef 01 23 45  67 00 00 7f 39 00 00 40   >.....#Eg...9..@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 7f fe 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 7f 39 00 00 40   >.....#Eg...9..@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 7f 39 00 00 40   >.....#Eg...9..@
3e 89 ab cd ef 01 23 45  67 00 00 00 00 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 7f fe 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 7f fe 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 00 3b 00 00 40   >.....#Eg...;..@
3e 89 ab cd ef 01 23 45  67 00 00 00 01 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 00 00 00 00 00 40   >.....#Eg......@
3e 89 ab cd ef 01 23 45  67 00 01 02 03 04 05 06   >.....#Eg.......
07 08 09 0a 0b 0c 0d 0e  00 00 00 00 01 00 02 00   ................
03 00 04 00 05 00 06 00  07 00 08 00 09 00 0a 00   ................
0b 00 0c 00 0d 00 0e                               .......         

EXTRACTING ARRAY VALUES WITH SWAPPING


*** XCDR2, little-endian (native)

STARTING INSERTION OF SINGLE VALUES WITHOUT SWAPPING

BYTES WRITTEN: 356
01 11                                              ..              

45 23 ef                                           E#.             

cd ab 67 ef                                        ..g.            

cd ab 89 67 45                                     ...gE           

23 01 22 00 23 01                                  #.".#.          

ab 89 67 45 ab 89 67                               ..gE..g         

45 23 01 ef cd cd cc cc                            E#......        

3d 9a 99 99 99 99 99 c9  3f                        =.......?       

67 45 23 01 ef cd ab 89  3e 40                     gE#.....>@      

00 00 00 00 00 00 1a 00  b2 00 2c 00 00 00 54 68   ..........,...Th
69 73 20 69 73 20 61 20  74                        is is a t       

65 73 74 20 6f 66 20 74  68 65 20 73 74 72 69 6e   est of the strin
67 20 73 65 72 69 61 6c  69 7a 61 74 69 6f         g serializatio  

6e 2e 00 30 00 00 00 54  68 69 73 20 69 73 20 61   n..0...This is a
20 74 65 73 74 20 6f 66  20 74 68 65 20 73 74 64    test of the std
20 73 74                                            st             

72 69 6e 67 20 73 65 72  69 61 6c 69 7a 61 74 69   ring serializati
6f 6e 2e 00 58 00 00 00  54 00 68 00 69 00 73 00   on..X...T.h.i.s.
20 00 69 00 73 00 20 00                             .i.s. .        

61 00 20 00 74 00 65 00  73 00 74 00 20 00 6f 00   a. .t.e.s.t. .o.
66 00 20 00 74 00 68 00  65 00 20 00 77 00 73 00   f. .t.h.e. .w.s.
74 00 72 00 69 00 6e 00  67 00 20 00 73            t.r.i.n.g. .s   

00 65 00 72 00 69 00 61  00 6c 00 69 00 7a 00 61   .e.r.i.a.l.i.z.a
00 74 00 69 00 6f 00 6e  00 2e 00 60 00 00 00 54   .t.i.o.n...`...T
00 68 00 69 00 73 00 20  00 69 00 73 00 20 00 61   .h.i.s. .i.s. .a
00 20                                              .               

00 74 00 65 00 73 00 74  00 20 00 6f 00 66 00 20   .t.e.s.t. .o.f. 
00 74 00 68 00 65 00 20  00 73 00 74 00 64 00 20   .t.h.e. .s.t.d. 
00 77 00 73 00 74 00 72  00 69 00 6e 00 67 00 20   .w.s.t.r.i.n.g. 
00 73 00 65 00 72 00 69  00 61 00 6c 00 69 00 7a   .s.e.r.i.a.l.i.z
00 61 00 74 00 69 00 6f  00 6e 00 2e 00            .a.t.i.o.n...   

EXTRACTING SINGLE VALUES WITHOUT SWAPPING

STARTING INSERTION OF ARRAY VALUES WITHOUT SWAPPING

BYTES WRITTEN: 934
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 01 00 02                            ........        

00 03 00 04 00 05 00 06  00                        .........       

07 00 08 00 09 00 0a 00  0b 00                     ..........      

0c 00 0d 00 0e 00 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f ef cd ab 89 67   ...............g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01                                           E#.             

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01                            ....gE#.        

ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67 45 23 01   ....gE#.....gE#.
ef cd ab 89 67 45 23 01  ef cd ab 89 67            ....gE#.....g   

45 23 01 ef cd ab 89 67  45 23 01 dd dd df df dd   E#.....gE#......
dd df df dd dd df df dd  dd df 00 ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff ff 00  00 f0 f0 f0 f0 f1 f0 f0   ................
f0 f2 f0 f0 f0 f3 f0 f0  f0 f4 f0 f0 f0 f5 f0 f0   ................
f0 f6 f0 f0 f0 f7 f0 f0  f0 f8 f0 f0 f0 f9 f0 f0   ................
f0 fa f0 f0 f0 fb f0 f0  f0 fc f0 f0 f0 fd f0 f0   ................
f0 fe f0 f0 f0 ab 89 67  45 23 01 ef cd ab 89 67   .......gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g

45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd ab 89 67   E#.....gE#.....g
45 23 01 ef cd ab 89 67  45 23 01 ef cd 00 00 00   E#.....gE#......
00 00 00 80 3f 00 00 00  3f ab aa aa 3e 00 00 80   ....?...?...>...
3e cd cc 4c 3e ab aa 2a  3e 25 49 12 3e 00 00 00   >..L>..*>%I.>...
3e 39 8e e3 3d cd cc cc  3d 8c 2e ba 3d ab aa aa   >9..=...=...=...
3d d9 89 9d 3d 25 49 92  3d 00 00 00 00 00 00 00   =...=%I.=.......
00 00 00 00 00 00 00 08  40 00 00 00 00 00 00 f8   ........@.......
3f 00 00 00 00 00 00 f0  3f 00 00 00 00 00 00 e8   ?.......?.......
3f 33 33 33 33 33 33 e3  3f 00 00 00 00 00 00 e0   ?333333.?.......
3f db b6 6d db b6 6d db  3f 00 00 00 00 00 00 d8   ?..m..m.?.......
3f 55 55 55 55 55 55 d5  3f 33 33 33 33 33 33 d3   ?UUUUUU.?333333.
3f 74 d1 45 17 5d 74 d1  3f 00 00 00 00 00 00 d0   ?t.E.]t.?.......
3f 9e d8 89 9d d8 89 cd  3f db b6 6d db b6 6d cb   ?.......?..m..m.
3f 67 45 23 01 ef cd ab  89 3e 40 00 00 01 00 00   ?gE#.....>@.....

00 67 45 23 01 ef cd ab  89 3e 40 00 00 39 7f 00   .gE#.....>@..9..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 fe 7f 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 39 7f 00   .gE#.....>@..9..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 39 7f 00   .gE#.....>@..9..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 00 00 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 fe 7f 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 fe 7f 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 3b 00 00   .gE#.....>@..;..
00 67 45 23 01 ef cd ab  89 3e 40 00 00 01 00 00   .gE#.....>@.....
00 67 45 23 01 ef cd ab  89 3e 40 00 00 00 00 00   .gE#.....>@.....
00 00 01 02 03 04 05 06  07 08 09 0a 0b 0c 0d 0e   ................
00 00 00 01 00 02 00 03  00 04 00 05 00 06 00 07   ................
00 08 00 09 00 0a 00 0b  00 0c 00 0d 00 0e 00      ............... 

EXTRACTING ARRAY VALUES WITHOUT SWAPPING


*** XCDR2, big-endian (non-native)

STARTING INSERTION OF SINGLE VALUES WITH SWAPPING

BYTES WRITTEN: 356
01 11                                              ..              

23 45 67                                           #Eg             

ab cd ef 01                                        ....            

23 45 67 89 ab                                     #Eg..           

cd ef 22 00 01 23                                  .."..#          

45 67 89 ab cd ef 01                               Eg.....         

23 45 67 89 ab 3d cc cc                            #Eg..=..        

cd 3f c9 99 99 99 99 99  9a                        .?.......       

00 00 00 00 00 00 40 3e  89 ab                     ......@>..      

cd ef 01 23 45 67 1a 00  00 b2 00 00 00 2c 54 68   ...#Eg.......,Th
69 73 20 69 73 20 61 20  74                        is is a t       

65 73 74 20 6f 66 20 74  68 65 20 73 74 72 69 6e   est of the strin
67 20 73 65 72 69 61 6c  69 7a 61 74 69 6f         g serializatio  

6e 2e 00 00 00 00 30 54  68 69 73 20 69 73 20 61   n.....0This is a
20 74 65 73 74 20 6f 66  20 74 68 65 20 73 74 64    test of the std
20 73 74                                            st             

72 69 6e 67 20 73 65 72  69 61 6c 69 7a 61 74 69   ring serializati
6f 6e 2e 00 00 00 00 58  00 54 00 68 00 69 00 73   on.....X.T.h.i.s
00 20 00 69 00 73 00 20                            . .i.s.         

00 61 00 20 00 74 00 65  00 73 00 74 00 20 00 6f   .a. .t.e.s.t. .o
00 66 00 20 00 74 00 68  00 65 00 20 00 77 00 73   .f. .t.h.e. .w.s
00 74 00 72 00 69 00 6e  00 67 00 20 00            .t.r.i.n.g. .   

73 00 65 00 72 00 69 00  61 00 6c 00 69 00 7a 00   s.e.r.i.a.l.i.z.
61 00 74 00 69 00 6f 00  6e 00 2e 00 00 00 60 00   a.t.i.o.n.....`.
54 00 68 00 69 00 73 00  20 00 69 00 73 00 20 00   T.h.i.s. .i.s. .
61 00                                              a.              

20 00 74 00 65 00 73 00  74 00 20 00 6f 00 66 00    .t.e.s.t. .o.f.
20 00 74 00 68 00 65 00  20 00 73 00 74 00 64 00    .t.h.e. .s.t.d.
20 00 77 00 73 00 74 00  72 00 69 00 6e 00 67 00    .w.s.t.r.i.n.g.
20 00 73 00 65 00 72 00  69 00 61 00 6c 00 69 00    .s.e.r.i.a.l.i.
7a 00 61 00 74 00 69 00  6f 00 6e 00 2e            z.a.t.i.o.n..   

EXTRACTING SINGLE VALUES WITH SWAPPING

STARTING INSERTION OF ARRAY VALUES WITH SWAPPING

BYTES WRITTEN: 934
00 01                                              ..              

02 03 04                                           ...             

05 06 07 08                                        ....            

09 0a 0b 0c 0d                                     .....           

0e 00 01 00 01 04                                  ......          

05 04 05 08 09 08 09                               .......         

0c 0d 0c 00 00 00 01 00                            ........        

02 00 03 00 04 00 05 00  06                        .........       

00 07 00 08 00 09 00 0a  00 0b                     ..........      

00 0c 00 0d 00 0e 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f                        .........       

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f 0f 0f   ................
0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 0f 0f 0f         ..............  

0f 0f 0f 0f 0f 0f 0f 0f  0f 0f 0f 01 23 45 67 89   ............#Eg.
ab cd ef 01 23 45 67 89  ab cd ef 01 23 45 67 89   ....#Eg.....#Eg.
ab cd ef                                           ...             

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef                            .#Eg....        

01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89 ab cd ef   .#Eg.....#Eg....
01 23 45 67 89 ab cd ef  01 23 45 67 89            .#Eg.....#Eg.   

ab cd ef 01 23 45 67 89  ab cd ef dd dd df df dd   ....#Eg.........
dd df df dd dd df df dd  dd df 00 ff ff ff ff ff   ................
ff ff ff ff ff ff ff ff  ff ff ff ff ff ff ff ff   ................
ff ff                                              ..              

ff ff ff ff ff ff ff 00  00 f0 f0 f0 f0 f0 f0 f0   ................
f1 f0 f0 f0 f2 f0 f0 f0  f3 f0 f0 f0 f4 f0 f0 f0   ................
f5 f0 f0 f0 f6 f0 f0 f0  f7 f0 f0 f0 f8 f0 f0 f0   ................
f9 f0 f0 f0 fa f0 f0 f0  fb f0 f0 f0 fc f0 f0 f0   ................
fd f0 f0 f0 fe cd ef 01  23 45 67 89 ab cd ef 01   ........#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....

23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab cd ef 01   #Eg.....#Eg.....
23 45 67 89 ab cd ef 01  23 45 67 89 ab 00 00 00   #Eg.....#Eg.....
00 3f 80 00 00 3f 00 00  00 3e aa aa ab 3e 80 00   .?...?...>...>..
00 3e 4c cc cd 3e 2a aa  ab 3e 12 49 25 3e 00 00   .>L..>*..>.I%>..
00 3d e3 8e 39 3d cc cc  cd 3d ba 2e 8c 3d aa aa   .=..9=...=...=..
ab 3d 9d 89 d9 3d 92 49  25 00 00 00 00 00 00 00   .=...=.I%.......
00 40 08 00 00 00 00 00  00 3f f8 00 00 00 00 00   .@.......?......
00 3f f0 00 00 00 00 00  00 3f e8 00 00 00 00 00   .?.......?......
00 3f e3 33 33 33 33 33  33 3f e0 00 00 00 00 00   .?.333333?......
00 3f db 6d b6 db 6d b6  db 3f d8 00 00 00 00 00   .?.m..m..?......
00 3f d5 55 55 55 55 55  55 3f d3 33 33 33 33 33   .?.UUUUUU?.33333
33 3f d1 74 5d 17 45 d1  74 3f d0 00 00 00 00 00   3?.t].E.t?......
00 3f cd 89 d8 9d 89 d8  9e 3f cb 6d b6 db 6d b6   .?.......?.m..m.
db 00 00 00 01 00 00 40  3e 89 ab cd ef 01 23 45   .......@>.....#E

67 00 00 7f 39 00 00 40  3e 89 ab cd ef 01 23 45   g...9..@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 7f fe 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 7f 39 00 00 40  3e 89 ab cd ef 01 23 45   g...9..@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 7f 39 00 00 40  3e 89 ab cd ef 01 23 45   g...9..@>.....#E
67 00 00 00 00 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 7f fe 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 7f fe 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 00 3b 00 00 40  3e 89 ab cd ef 01 23 45   g...;..@>.....#E
67 00 00 00 01 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 00 00 00 00 00 40  3e 89 ab cd ef 01 23 45   g......@>.....#E
67 00 01 02 03 04 05 06  07 08 09 0a 0b 0c 0d 0e   g...............
00 00 00 00 01 00 02 00  03 00 04 00 05 00 06 00   ................
07 00 08 00 09 00 0a 00  0b 00 0c 00 0d 00 0e      ............... 

EXTRACTING ARRAY VALUES WITH SWAPPING

Running overrun test...

Running alignment tests...

Running alignment reset tests...

Running alignment overrun test...

Running encapsulation options tests...

SerializerTest PASSED
test PASSED.

auto_run_tests_finished: tests/DCPS/Serializer/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/Compiler/idl_test1_main/run_test.pl #

serialized_size_bound => <unbounded>
serialized_size => 79
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test1_main/idl_test1 PASSED

auto_run_tests_finished: tests/DCPS/Compiler/idl_test1_main/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/idl_test3_main/run_test.pl #

Xyz::StructOfArrayOfBoolean: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfBoolean: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfBoolean: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfBoolean: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfBoolean: try_marshaling PASSED
Xyz::StructOfArrayOfString: serialized_size_bound(unaligned_encoding) => 60
Xyz::StructOfArrayOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfString: serialized_size(unaligned_encoding, foo) => 39
Xyz::StructOfArrayOfString: serialized_size(aligned_encoding, foo) => 49
Xyz::StructOfArrayOfString: try_marshaling PASSED
Xyz::StructOfArrayOfChar: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfChar: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfChar: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfChar: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfChar: try_marshaling PASSED
Xyz::StructOfArrayOfOctet: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfOctet: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfOctet: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfOctet: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfOctet: try_marshaling PASSED
Xyz::StructOfArrayOfLong: serialized_size_bound(unaligned_encoding) => 20
Xyz::StructOfArrayOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfLong: serialized_size(unaligned_encoding, foo) => 20
Xyz::StructOfArrayOfLong: serialized_size(aligned_encoding, foo) => 20
Xyz::StructOfArrayOfLong: try_marshaling PASSED
Xyz::StructOfArrayOfAnEnum: serialized_size_bound(unaligned_encoding) => 20
Xyz::StructOfArrayOfAnEnum: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfAnEnum: serialized_size(unaligned_encoding, foo) => 20
Xyz::StructOfArrayOfAnEnum: serialized_size(aligned_encoding, foo) => 20
Xyz::StructOfArrayOfAnEnum: try_marshaling PASSED
Xyz::StructOfArrayOfArrayOfLong: serialized_size_bound(unaligned_encoding) => 140
Xyz::StructOfArrayOfArrayOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfArrayOfLong: serialized_size(unaligned_encoding, foo) => 140
Xyz::StructOfArrayOfArrayOfLong: serialized_size(aligned_encoding, foo) => 140
Xyz::StructOfArrayOfArrayOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfBoolean: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfBoolean: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfBoolean: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfBoolean: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfBoolean: try_marshaling PASSED
Xyz::StructOfSeqOfString: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size(unaligned_encoding, foo) => 43
Xyz::StructOfSeqOfString: serialized_size(aligned_encoding, foo) => 53
Xyz::StructOfSeqOfString: try_marshaling PASSED
Xyz::StructOfSeqOfChar: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfChar: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfChar: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfChar: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfChar: try_marshaling PASSED
Xyz::StructOfSeqOfOctet: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfOctet: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfOctet: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfOctet: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfOctet: try_marshaling PASSED
Xyz::StructOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 28
Xyz::StructOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 24
Xyz::StructOfSeqOfLong: serialized_size(aligned_encoding, foo) => 24
Xyz::StructOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfAnEnum: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfAnEnum: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfAnEnum: serialized_size(unaligned_encoding, foo) => 24
Xyz::StructOfSeqOfAnEnum: serialized_size(aligned_encoding, foo) => 24
Xyz::StructOfSeqOfAnEnum: try_marshaling PASSED
Xyz::StructOfArrayOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 168
Xyz::StructOfArrayOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 144
Xyz::StructOfArrayOfSeqOfLong: serialized_size(aligned_encoding, foo) => 144
Xyz::StructOfArrayOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 116
Xyz::StructOfSeqOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 100
Xyz::StructOfSeqOfSeqOfLong: serialized_size(aligned_encoding, foo) => 100
Xyz::StructOfSeqOfSeqOfLong: try_marshaling PASSED
Xyz::StructAUnion: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructAUnion: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructAUnion: serialized_size(unaligned_encoding, foo) => 12
Xyz::StructAUnion: serialized_size(aligned_encoding, foo) => 12
Xyz::StructAUnion: try_marshaling PASSED
Xyz::StructOfSeqOfString: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size(unaligned_encoding, foo) => 23
Xyz::StructOfSeqOfString: serialized_size(aligned_encoding, foo) => 26
Xyz::StructOfSeqOfString: try_marshaling PASSED
Xyz::Foo: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::Foo: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::Foo: serialized_size(unaligned_encoding, foo) => 4529
Xyz::Foo: serialized_size(aligned_encoding, foo) => 5160
Xyz::Foo: try_marshaling PASSED
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/idl_test3_main/idl_test3 PASSED

auto_run_tests_finished: tests/DCPS/Compiler/idl_test3_main/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/key_annotation/run_test.pl #

auto_run_tests_finished: tests/DCPS/Compiler/key_annotation/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/Compiler/is_topic_type/run_test.pl #

is_topic_type: JSON::PP not installed, skipping test and returning 0.

auto_run_tests_finished: tests/DCPS/Compiler/is_topic_type/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/TryConstruct/run_test.pl #

[==========] Running 11 tests from 5 test cases.
[----------] Global test environment set-up.
[----------] 1 test from TestTryCon
[ RUN      ] TestTryCon.string
[       OK ] TestTryCon.string (1 ms)
[----------] 1 test from TestTryCon (1 ms total)

[----------] 3 tests from StructandSeq
[ RUN      ] StructandSeq.DISCARD
[       OK ] StructandSeq.DISCARD (0 ms)
[ RUN      ] StructandSeq.USE_DEFAULT
[       OK ] StructandSeq.USE_DEFAULT (0 ms)
[ RUN      ] StructandSeq.TRIM
[       OK ] StructandSeq.TRIM (1 ms)
[----------] 3 tests from StructandSeq (1 ms total)

[----------] 2 tests from AnonSequence
[ RUN      ] AnonSequence.Trim
[       OK ] AnonSequence.Trim (0 ms)
[ RUN      ] AnonSequence.USE_DEFAULT
[       OK ] AnonSequence.USE_DEFAULT (0 ms)
[----------] 2 tests from AnonSequence (0 ms total)

[----------] 2 tests from AnonArray
[ RUN      ] AnonArray.TRIM
[       OK ] AnonArray.TRIM (0 ms)
[ RUN      ] AnonArray.USE_DEFAULT
[       OK ] AnonArray.USE_DEFAULT (0 ms)
[----------] 2 tests from AnonArray (1 ms total)

[----------] 3 tests from Union
[ RUN      ] Union.DISCARD
[       OK ] Union.DISCARD (0 ms)
[ RUN      ] Union.USE_DEFAULT
[       OK ] Union.USE_DEFAULT (0 ms)
[ RUN      ] Union.TRIM
[       OK ] Union.TRIM (0 ms)
[----------] 3 tests from Union (0 ms total)

[----------] Global test environment tear-down
[==========] 11 tests from 5 test cases ran. (17 ms total)
[  PASSED  ] 11 tests.

auto_run_tests_finished: tests/DCPS/Compiler/TryConstruct/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/typeobject_hash_consistency/run_test.pl #

test PASSED

auto_run_tests_finished: tests/DCPS/Compiler/typeobject_hash_consistency/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/Compiler/xcdr/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/xcdr/xcdr  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 7132 started at 2023-04-19 13:58:25
[==========] Running 78 tests from 6 test cases.
[----------] Global test environment set-up.
[----------] 13 tests from BasicTests
[ RUN      ] BasicTests.FinalXcdr1Struct
[       OK ] BasicTests.FinalXcdr1Struct (0 ms)
[ RUN      ] BasicTests.AppendableXcdr1Struct
[       OK ] BasicTests.AppendableXcdr1Struct (0 ms)
[ RUN      ] BasicTests.MutableXcdr1Struct
[       OK ] BasicTests.MutableXcdr1Struct (0 ms)
[ RUN      ] BasicTests.FinalXcdr2Struct
[       OK ] BasicTests.FinalXcdr2Struct (0 ms)
[ RUN      ] BasicTests.FinalXcdr2StructLE
[       OK ] BasicTests.FinalXcdr2StructLE (0 ms)
[ RUN      ] BasicTests.AppendableXcdr2Struct
[       OK ] BasicTests.AppendableXcdr2Struct (0 ms)
[ RUN      ] BasicTests.AppendableXcdr2StructLE
[       OK ] BasicTests.AppendableXcdr2StructLE (0 ms)
[ RUN      ] BasicTests.MutableXcdr2Struct
[       OK ] BasicTests.MutableXcdr2Struct (0 ms)
[ RUN      ] BasicTests.MutableXcdr2StructLE
[       OK ] BasicTests.MutableXcdr2StructLE (0 ms)
[ RUN      ] BasicTests.MutableXcdr12Union
[       OK ] BasicTests.MutableXcdr12Union (0 ms)
[ RUN      ] BasicTests.MutableXcdr12UnionLE
[       OK ] BasicTests.MutableXcdr12UnionLE (0 ms)
[ RUN      ] BasicTests.FinalUnion
[       OK ] BasicTests.FinalUnion (0 ms)
[ RUN      ] BasicTests.FinalUnionLE
[       OK ] BasicTests.FinalUnionLE (0 ms)
[----------] 13 tests from BasicTests (0 ms total)

[----------] 17 tests from AppendableTests
[ RUN      ] AppendableTests.FromNestedStruct
[       OK ] AppendableTests.FromNestedStruct (1 ms)
[ RUN      ] AppendableTests.FromAdditionalNestedStruct
[       OK ] AppendableTests.FromAdditionalNestedStruct (0 ms)
[ RUN      ] AppendableTests.FromAdditionalNestedStructLE
[       OK ] AppendableTests.FromAdditionalNestedStructLE (0 ms)
[ RUN      ] AppendableTests.BothAdditionalNestedStruct
[       OK ] AppendableTests.BothAdditionalNestedStruct (0 ms)
[ RUN      ] AppendableTests.BothAdditionalNestedStructLE
[       OK ] AppendableTests.BothAdditionalNestedStructLE (0 ms)
[ RUN      ] AppendableTests.BothAppendableStruct
[       OK ] AppendableTests.BothAppendableStruct (0 ms)
[ RUN      ] AppendableTests.BothAppendableStructLE
[       OK ] AppendableTests.BothAppendableStructLE (0 ms)
[ RUN      ] AppendableTests.FromAppendableStruct
[       OK ] AppendableTests.FromAppendableStruct (0 ms)
[ RUN      ] AppendableTests.FromAppendableStructLE
[       OK ] AppendableTests.FromAppendableStructLE (0 ms)
[ RUN      ] AppendableTests.FromAdditionalAppendableStruct
[       OK ] AppendableTests.FromAdditionalAppendableStruct (0 ms)
[ RUN      ] AppendableTests.FromAdditionalAppendableStructLE
[       OK ] AppendableTests.FromAdditionalAppendableStructLE (0 ms)
[ RUN      ] AppendableTests.BothAdditionalAppendableStruct
[       OK ] AppendableTests.BothAdditionalAppendableStruct (0 ms)
[ RUN      ] AppendableTests.BothAdditionalAppendableStructLE
[       OK ] AppendableTests.BothAdditionalAppendableStructLE (0 ms)
[ RUN      ] AppendableTests.BothAppendableWithNestedStruct2
[       OK ] AppendableTests.BothAppendableWithNestedStruct2 (0 ms)
[ RUN      ] AppendableTests.BothAppendableWithNestedStruct2LE
[       OK ] AppendableTests.BothAppendableWithNestedStruct2LE (0 ms)
[ RUN      ] AppendableTests.FromAppendableUnion
[       OK ] AppendableTests.FromAppendableUnion (0 ms)
[ RUN      ] AppendableTests.FromAppendableUnionLE
[       OK ] AppendableTests.FromAppendableUnionLE (0 ms)
[----------] 17 tests from AppendableTests (1 ms total)

[----------] 25 tests from MutableTests
[ RUN      ] MutableTests.BaselineXcdr1Test
[       OK ] MutableTests.BaselineXcdr1Test (0 ms)
[ RUN      ] MutableTests.BaselineXcdr2Test
[       OK ] MutableTests.BaselineXcdr2Test (0 ms)
[ RUN      ] MutableTests.BaselineXcdr2TestLE
[       OK ] MutableTests.BaselineXcdr2TestLE (0 ms)
[ RUN      ] MutableTests.BaselineXcdr2TestUnion
[       OK ] MutableTests.BaselineXcdr2TestUnion (0 ms)
[ RUN      ] MutableTests.BaselineXcdr2TestUnionLE
[       OK ] MutableTests.BaselineXcdr2TestUnionLE (1 ms)
[ RUN      ] MutableTests.FromMutableUnion
[       OK ] MutableTests.FromMutableUnion (0 ms)
[ RUN      ] MutableTests.FromMutableUnionLE
[       OK ] MutableTests.FromMutableUnionLE (0 ms)
[ RUN      ] MutableTests.FromModifiedMutableUnion
[       OK ] MutableTests.FromModifiedMutableUnion (0 ms)
[ RUN      ] MutableTests.FromModifiedMutableUnionLE
[       OK ] MutableTests.FromModifiedMutableUnionLE (0 ms)
[ RUN      ] MutableTests.ToReorderedXcdr1Test
[       OK ] MutableTests.ToReorderedXcdr1Test (0 ms)
[ RUN      ] MutableTests.FromReorderedXcdr1Test
[       OK ] MutableTests.FromReorderedXcdr1Test (0 ms)
[ RUN      ] MutableTests.ToReorderedXcdr2Test
[       OK ] MutableTests.ToReorderedXcdr2Test (0 ms)
[ RUN      ] MutableTests.ToReorderedXcdr2TestLE
[       OK ] MutableTests.ToReorderedXcdr2TestLE (0 ms)
[ RUN      ] MutableTests.FromReorderedXcdr2Test
[       OK ] MutableTests.FromReorderedXcdr2Test (0 ms)
[ RUN      ] MutableTests.ToAdditionalFieldXcdr1Test
[       OK ] MutableTests.ToAdditionalFieldXcdr1Test (0 ms)
[ RUN      ] MutableTests.FromAdditionalFieldXcdr1Test
[       OK ] MutableTests.FromAdditionalFieldXcdr1Test (0 ms)
[ RUN      ] MutableTests.ToAdditionalFieldXcdr2Test
[       OK ] MutableTests.ToAdditionalFieldXcdr2Test (0 ms)
[ RUN      ] MutableTests.FromAdditionalFieldMustUnderstandTest
[       OK ] MutableTests.FromAdditionalFieldMustUnderstandTest (0 ms)
[ RUN      ] MutableTests.FromAdditionalFieldXcdr2Test
[       OK ] MutableTests.FromAdditionalFieldXcdr2Test (0 ms)
[ RUN      ] MutableTests.LengthCodeTest
[       OK ] MutableTests.LengthCodeTest (0 ms)
[ RUN      ] MutableTests.ReadLc567Test
[       OK ] MutableTests.ReadLc567Test (0 ms)
[ RUN      ] MutableTests.BothMixedMutableStruct
[       OK ] MutableTests.BothMixedMutableStruct (1 ms)
[ RUN      ] MutableTests.BothMixedMutableStructLE
[       OK ] MutableTests.BothMixedMutableStructLE (0 ms)
[ RUN      ] MutableTests.FromMixedMutableStruct
[       OK ] MutableTests.FromMixedMutableStruct (0 ms)
[ RUN      ] MutableTests.FromMixedMutableStructLE
[       OK ] MutableTests.FromMixedMutableStructLE (0 ms)
[----------] 25 tests from MutableTests (2 ms total)

[----------] 6 tests from MixedExtenTests
[ RUN      ] MixedExtenTests.NestingFinalStruct
[       OK ] MixedExtenTests.NestingFinalStruct (0 ms)
[ RUN      ] MixedExtenTests.NestingFinalStructLE
[       OK ] MixedExtenTests.NestingFinalStructLE (0 ms)
[ RUN      ] MixedExtenTests.NestingAppendableStruct
[       OK ] MixedExtenTests.NestingAppendableStruct (0 ms)
[ RUN      ] MixedExtenTests.NestingAppendableStructLE
[       OK ] MixedExtenTests.NestingAppendableStructLE (0 ms)
[ RUN      ] MixedExtenTests.NestingMutableStruct
[       OK ] MixedExtenTests.NestingMutableStruct (0 ms)
[ RUN      ] MixedExtenTests.NestingMutableStructLE
[       OK ] MixedExtenTests.NestingMutableStructLE (0 ms)
[----------] 6 tests from MixedExtenTests (0 ms total)

[----------] 1 test from IdVsDeclOrder
[ RUN      ] IdVsDeclOrder.test
[       OK ] IdVsDeclOrder.test (0 ms)
[----------] 1 test from IdVsDeclOrder (0 ms total)

[----------] 16 tests from KeyTests
[ RUN      ] KeyTests.normal_BasicUnkeyedStruct
[       OK ] KeyTests.normal_BasicUnkeyedStruct (0 ms)
[ RUN      ] KeyTests.normal_BasicKeyedStruct
[       OK ] KeyTests.normal_BasicKeyedStruct (0 ms)
[ RUN      ] KeyTests.normal_UnkeyedUnion
[       OK ] KeyTests.normal_UnkeyedUnion (0 ms)
[ RUN      ] KeyTests.normal_KeyedUnion
[       OK ] KeyTests.normal_KeyedUnion (0 ms)
[ RUN      ] KeyTests.normal_ComplexUnkeyedStruct
[       OK ] KeyTests.normal_ComplexUnkeyedStruct (1 ms)
[ RUN      ] KeyTests.normal_ComplexKeyedStruct
[       OK ] KeyTests.normal_ComplexKeyedStruct (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_BasicUnkeyedStruct
[       OK ] KeyTests.NestedKeyOnly_BasicUnkeyedStruct (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_BasicKeyedStruct
[       OK ] KeyTests.NestedKeyOnly_BasicKeyedStruct (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_UnkeyedUnion
[       OK ] KeyTests.NestedKeyOnly_UnkeyedUnion (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_KeyedUnion
[       OK ] KeyTests.NestedKeyOnly_KeyedUnion (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_ComplexUnkeyedStruct
[       OK ] KeyTests.NestedKeyOnly_ComplexUnkeyedStruct (0 ms)
[ RUN      ] KeyTests.NestedKeyOnly_ComplexKeyedStruct
[       OK ] KeyTests.NestedKeyOnly_ComplexKeyedStruct (0 ms)
[ RUN      ] KeyTests.KeyOnly_BasicUnkeyedStruct
[       OK ] KeyTests.KeyOnly_BasicUnkeyedStruct (0 ms)
[ RUN      ] KeyTests.KeyOnly_BasicKeyedStruct
[       OK ] KeyTests.KeyOnly_BasicKeyedStruct (0 ms)
[ RUN      ] KeyTests.KeyOnly_UnkeyedUnion
[       OK ] KeyTests.KeyOnly_UnkeyedUnion (0 ms)
[ RUN      ] KeyTests.KeyOnly_KeyedUnion
[       OK ] KeyTests.KeyOnly_KeyedUnion (0 ms)
[----------] 16 tests from KeyTests (1 ms total)

[----------] Global test environment tear-down
[==========] 78 tests from 6 test cases ran. (4 ms total)
[  PASSED  ] 78 tests.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/xcdr/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/XtypesExtensibility/run_test.pl #

[==========] Running 8 tests from 4 test cases.
[----------] Global test environment set-up.
[----------] 1 test from TestFinal
[ RUN      ] TestFinal.flags_match
[       OK ] TestFinal.flags_match (3 ms)
[----------] 1 test from TestFinal (4 ms total)

[----------] 1 test from TestAppendable
[ RUN      ] TestAppendable.flags_match
[       OK ] TestAppendable.flags_match (0 ms)
[----------] 1 test from TestAppendable (0 ms total)

[----------] 1 test from TestMutable
[ RUN      ] TestMutable.flags_match
[       OK ] TestMutable.flags_match (0 ms)
[----------] 1 test from TestMutable (0 ms total)

[----------] 5 tests from TestDefault
[ RUN      ] TestDefault.flags_match
[       OK ] TestDefault.flags_match (0 ms)
[ RUN      ] TestDefault.FinalEnum
[       OK ] TestDefault.FinalEnum (1 ms)
[ RUN      ] TestDefault.AppendableEnum
[       OK ] TestDefault.AppendableEnum (0 ms)
[ RUN      ] TestDefault.DefaultEnum
[       OK ] TestDefault.DefaultEnum (0 ms)
[ RUN      ] TestDefault.ZeroEnumDefaultEnum
[       OK ] TestDefault.ZeroEnumDefaultEnum (0 ms)
[----------] 5 tests from TestDefault (1 ms total)

[----------] Global test environment tear-down
[==========] 8 tests from 4 test cases ran. (5 ms total)
[  PASSED  ] 8 tests.

auto_run_tests_finished: tests/DCPS/Compiler/XtypesExtensibility/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/typeobject_generator/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/typeobject_generator/TypeObjectTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 7136 started at 2023-04-19 13:58:25
[==========] Running 2 tests from 1 test case.
[----------] Global test environment set-up.
[----------] 2 tests from TypeMapTest
[ RUN      ] TypeMapTest.Minimal
[       OK ] TypeMapTest.Minimal (25 ms)
[ RUN      ] TypeMapTest.Complete
[       OK ] TypeMapTest.Complete (0 ms)
[----------] 2 tests from TypeMapTest (26 ms total)

[----------] Global test environment tear-down
[==========] 2 tests from 1 test case ran. (26 ms total)
[  PASSED  ] 2 tests.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/typeobject_generator/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/Compiler/keywords/run_test.pl classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/keywords/classic/keywords-classic  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 7138 started at 2023-04-19 13:58:26
[==========] Running 8 tests from 4 test cases.
[----------] Global test environment set-up.
[----------] 2 tests from EscapedNonKeywords
[ RUN      ] EscapedNonKeywords.struct_topic_type
[       OK ] EscapedNonKeywords.struct_topic_type (0 ms)
[ RUN      ] EscapedNonKeywords.union_topic_type
[       OK ] EscapedNonKeywords.union_topic_type (0 ms)
[----------] 2 tests from EscapedNonKeywords (0 ms total)

[----------] 2 tests from IdlKeywords
[ RUN      ] IdlKeywords.struct_topic_type
[       OK ] IdlKeywords.struct_topic_type (0 ms)
[ RUN      ] IdlKeywords.union_topic_type
[       OK ] IdlKeywords.union_topic_type (0 ms)
[----------] 2 tests from IdlKeywords (0 ms total)

[----------] 2 tests from CppKeywords
[ RUN      ] CppKeywords.struct_topic_type
[       OK ] CppKeywords.struct_topic_type (0 ms)
[ RUN      ] CppKeywords.union_topic_type
[       OK ] CppKeywords.union_topic_type (0 ms)
[----------] 2 tests from CppKeywords (0 ms total)

[----------] 2 tests from DoubleKeywords
[ RUN      ] DoubleKeywords.struct_topic_type
[       OK ] DoubleKeywords.struct_topic_type (0 ms)
[ RUN      ] DoubleKeywords.union_topic_type
[       OK ] DoubleKeywords.union_topic_type (0 ms)
[----------] 2 tests from DoubleKeywords (0 ms total)

[----------] Global test environment tear-down
[==========] 8 tests from 4 test cases ran. (0 ms total)
[  PASSED  ] 8 tests.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/keywords/run_test.pl classic Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/explicit_ints/run_test.pl classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/explicit_ints/classic/explicit-ints-classic  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 7140 started at 2023-04-19 13:58:26
[==========] Running 1 test from 1 test case.
[----------] Global test environment set-up.
[----------] 1 test from ExplicitInts
[ RUN      ] ExplicitInts.min_max
[       OK ] ExplicitInts.min_max (0 ms)
[----------] 1 test from ExplicitInts (0 ms total)

[----------] Global test environment tear-down
[==========] 1 test from 1 test case ran. (1 ms total)
[  PASSED  ] 1 test.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/explicit_ints/run_test.pl classic Time:0s Result:0

==============================================================================

tests/DCPS/Compiler/char_literals/run_test.pl classic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Compiler/char_literals/classic/char-literals-classic  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 7142 started at 2023-04-19 13:58:26
[==========] Running 3 tests from 1 test case.
[----------] Global test environment set-up.
[----------] 3 tests from CharLiterals
[ RUN      ] CharLiterals.char_literal_values
[       OK ] CharLiterals.char_literal_values (0 ms)
[ RUN      ] CharLiterals.wchar_literal_values
[       OK ] CharLiterals.wchar_literal_values (0 ms)
[ RUN      ] CharLiterals.str_literal_values
[       OK ] CharLiterals.str_literal_values (0 ms)
[----------] 3 tests from CharLiterals (0 ms total)

[----------] Global test environment tear-down
[==========] 3 tests from 1 test case ran. (0 ms total)
[  PASSED  ] 3 tests.
test PASSED.

auto_run_tests_finished: tests/DCPS/Compiler/char_literals/run_test.pl classic Time:1s Result:0

==============================================================================

tests/DCPS/Compiler/union_defaults/run_test.pl #

[==========] Running 11 tests from 1 test case.
[----------] Global test environment set-up.
[----------] 11 tests from UnionDefault
[ RUN      ] UnionDefault.no_default
[       OK ] UnionDefault.no_default (0 ms)
[ RUN      ] UnionDefault.string
[       OK ] UnionDefault.string (0 ms)
[ RUN      ] UnionDefault.Z
[       OK ] UnionDefault.Z (0 ms)
[ RUN      ] UnionDefault.dummy
[       OK ] UnionDefault.dummy (0 ms)
[ RUN      ] UnionDefault.dummy_sequence
[       OK ] UnionDefault.dummy_sequence (1 ms)
[ RUN      ] UnionDefault.dummy_array
[       OK ] UnionDefault.dummy_array (0 ms)
[ RUN      ] UnionDefault.wstring
[       OK ] UnionDefault.wstring (0 ms)
[ RUN      ] UnionDefault.wchar
[       OK ] UnionDefault.wchar (0 ms)
[ RUN      ] UnionDefault.long_double
[       OK ] UnionDefault.long_double (0 ms)
[ RUN      ] UnionDefault.boolean
[       OK ] UnionDefault.boolean (0 ms)
[ RUN      ] UnionDefault.enum
[       OK ] UnionDefault.enum (0 ms)
[----------] 11 tests from UnionDefault (1 ms total)

[----------] Global test environment tear-down
[==========] 11 tests from 1 test case ran. (1 ms total)
[  PASSED  ] 11 tests.

auto_run_tests_finished: tests/DCPS/Compiler/union_defaults/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/DelayedDurable/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --writer -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
writer PID: 7146 started at 2023-04-19 13:58:27
(7146|7146) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(7146|7146) writer starting at  13:58:27.728845
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --reader -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
readerB PID: 7160 started at 2023-04-19 13:58:42
(7160|7160) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(7160|7160) reader starting at  13:58:42.767503
reader: Counter reached 981 at  13:58:45.693697
(7146|7146) writer ending at  13:59:06.264785
(7160|7160) reader ending at  13:59:15.766179
test PASSED.

auto_run_tests_finished: tests/DCPS/DelayedDurable/run_test.pl Time:48s Result:0

==============================================================================

tests/DCPS/DelayedDurable/run_test.pl --large-samples #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --writer -DCPSConfigFile rtps_disc.ini --large-samples -DCPSPendingTimeout 3 
writer PID: 7171 started at 2023-04-19 13:59:15
(7171|7171) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(7171|7171) writer starting at  13:59:15.990778
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --reader -DCPSConfigFile rtps_disc.ini --large-samples -DCPSPendingTimeout 3 
readerB PID: 7177 started at 2023-04-19 13:59:30
(7177|7177) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(7177|7177) reader starting at  13:59:30.993120
reader: Counter reached 95 at  13:59:34.580502
(7171|7171) writer ending at  13:59:53.917326
(7177|7177) reader ending at  14:00:03.900780
test PASSED.

auto_run_tests_finished: tests/DCPS/DelayedDurable/run_test.pl --large-samples Time:49s Result:0

==============================================================================

tests/DCPS/DelayedDurable/run_test.pl --early-reader #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --reader -DCPSConfigFile rtps_disc.ini --has-early-reader --report-last-value readerA.txt -DCPSPendingTimeout 3 
readerA PID: 7198 started at 2023-04-19 14:00:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --writer -DCPSConfigFile rtps_disc.ini --has-early-reader -DCPSPendingTimeout 3 
writer PID: 7199 started at 2023-04-19 14:00:04
(7198|7198) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(7199|7199) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(7198|7198) reader starting at  14:00:04.181695
(7199|7199) writer starting at  14:00:04.188811
(7199|7202) RtpsUdpSendStrategy::send_single_i() - destination 10.201.200.79:40457 failed send: Operation not permitted
(7199|7202) RtpsUdpSendStrategy::send_rtps_control() - failed to send RTPS control message
reader: Counter reached 1 at  14:00:04.419522
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DelayedDurable/DelayedDurable --reader -DCPSConfigFile rtps_disc.ini --has-early-reader --report-last-value readerB.txt -DCPSPendingTimeout 3 
readerB PID: 7211 started at 2023-04-19 14:00:14
(7211|7211) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(7211|7211) reader starting at  14:00:14.609237
(7199|7199) writer ending at  14:00:14.945381
reader: Counter reached 1 at  14:00:15.059671
(7198|7198) reader ending at  14:00:15.712197
(7211|7211) reader ending at  14:00:25.060535
test PASSED.

auto_run_tests_finished: tests/DCPS/DelayedDurable/run_test.pl --early-reader Time:21s Result:0

==============================================================================

tests/DCPS/Restart/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Restart/RestartTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile rt.log -DCPSPendingTimeout 3 
rt PID: 7219 started at 2023-04-19 14:00:25
Application 1 Starting
Application 1 retrieved domain participant factory
Application 1 created domain participant
Application 1 Started
Application 2 Starting
Application 2 retrieved domain participant factory
Application 2 created domain participant
Application 2 Started
Application 2 Ending
Application 2 did delete contained_entities
Application 2 did delete domain participant
Application 2 Ended
Application 3 Starting
Application 3 retrieved domain participant factory
Application 3 created domain participant
Application 3 Started
Application 3 Ending
Application 3 did delete contained_entities
Application 3 did delete domain participant
Application 3 Ended
Application 1 Ending
Application 1 did delete contained_entities
Application 1 did delete domain participant
Application 1 shutdown service participant
Application 1 Ended
Application 4 Starting
Application 4 retrieved domain participant factory
Application 4 created domain participant
Application 4 Started
Application 4 Ending
Application 4 did delete contained_entities
Application 4 did delete domain participant
Application 4 shutdown service participant
Application 4 Ended
test PASSED.

auto_run_tests_finished: tests/DCPS/Restart/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/sub_init_loop/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBSvcConf repo.conf -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7239
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/sub_init_loop/subscriber -DCPSConfigFile sub.ini -v -DCPSPendingTimeout 3 
sub PID: 7246 started at 2023-04-19 14:00:25
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/sub_init_loop/publisher -DCPSConfigFile pub.ini  -DCPSPendingTimeout 3 
pub PID: 7247 started at 2023-04-19 14:00:25
(7247|7247) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(7246|7246) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(7239|7239) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7247|7247) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7246|7246) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7246|7246) Reinitializing subscriber.
(7246|7246) *** Destroying Subscriber
(7246|7246) Reinitializing subscriber.
(7246|7246) *** Destroying Subscriber
(7246|7246) Reinitializing subscriber.
(7246|7246) *** Destroying Subscriber
(7246|7246) Reinitializing subscriber.
(7246|7246) *** Destroying Subscriber
(7246|7246) Reinitializing subscriber.
(7246|7246) *** Destroying Subscriber
(7246|7246) Reinitializing subscriber.
(7246|7246) *** Destroying Subscriber
(7246|7246) Reinitializing subscriber.
(7246|7246) *** Destroying Subscriber
(7246|7246) Reinitializing subscriber.
(7246|7246) *** Destroying Subscriber
(7246|7246) Reinitializing subscriber.
(7246|7246) *** Destroying Subscriber
(7246|7246) Reinitializing subscriber.
(7246|7246) *** Destroying Subscriber
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/sub_init_loop/run_test.pl Time:5s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 7264
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 0 
client PID: 7271 started at 2023-04-19 14:00:30
(7264|7264) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7271|7271) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7271|7271) write begins.
(7271|7271) write  done
(7271|7271) read begins.
foo.x = 0.000000 foo.y = -1.000000, foo.key = 101010
(7271|7271) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl Time:14s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl ignore_part #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 7289
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 1 
client PID: 7296 started at 2023-04-19 14:00:44
(7289|7289) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7296|7296) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Ignoring before the association
(7296|7296) IGNORE_PARTICIPANT,  participant 01030000.777e4cef.00000002.000001c1 ignore participant 01030000.777e4cef.00000002.000001c1 .
(7296|7296) IGNORE_PARTICIPANT, ignored participant 01030000.777e4cef.00000002.000001c1 has handle 0x3.
(7296|7296) write begins.
(7296|7296) write  done
(7296|7296) read begins.
(7296|7296) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl ignore_part Time:13s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl ignore_topic #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 7306
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 2 
client PID: 7313 started at 2023-04-19 14:00:57
(7306|7306) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7313|7313) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Ignoring before the association
(7313|7313) IGNORE_TOPIC, participant 01030000.0d67d81f.00000002.000001c1 ignore topic 01030000.0d67d81f.00000002.00000845 .
(7313|7313) IGNORE_TOPIC,  ignored topic 01030000.0d67d81f.00000002.00000845 has handle 0x7.
(7313|7313) write begins.
(7313|7313) write  done
(7313|7313) read begins.
(7313|7313) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl ignore_topic Time:14s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl ignore_pub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 7335
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 3 
client PID: 7342 started at 2023-04-19 14:01:11
(7335|7335) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7342|7342) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Ignoring after the association
(7342|7342) IGNORE_PUBLICATION, participant 01030000.598ee372.00000002.000001c1 ignore publication 01030000.598ee372.00000002.00000102 .
(7342|7342) IGNORE_PUBLICATION,  ignored topic 01030000.598ee372.00000002.00000102 has handle 0xb.
(7342|7342) write begins.
(7342|7342) write  done
(7342|7342) read begins.
(7342|7342) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl ignore_pub Time:13s Result:0

==============================================================================

tests/DCPS/BuiltInTopic/run_test.pl ignore_sub #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
InfoRepo PID: 7354
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BuiltInTopic/bit  -i 4 
client PID: 7361 started at 2023-04-19 14:01:25
(7354|7354) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7361|7361) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Ignoring after the association
(7361|7361) IGNORE_SUBSCRIPTION, participant 01030000.2cbc9e3a.00000002.000001c1 ignore subscription 01030000.2cbc9e3a.00000002.00000507 .
(7361|7361) IGNORE_SUBSCRIPTION,  ignored topic 01030000.2cbc9e3a.00000002.00000507 has handle 0xa.
(7361|7361) write begins.
(7361|7361) write  done
(7361|7361) read begins.
(7361|7361) read done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/BuiltInTopic/run_test.pl ignore_sub Time:14s Result:0

==============================================================================

tests/DCPS/CorbaSeq/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -NOBITS -DCPSPendingTimeout 3 
InfoRepo PID: 7383
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSBit 0  -DCPSPendingTimeout 3 
pub PID: 7390 started at 2023-04-19 14:01:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CorbaSeq/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSBit 0  -DCPSPendingTimeout 3 
sub PID: 7391 started at 2023-04-19 14:01:38
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/CorbaSeq/run_test.pl Time:5s Result:0

==============================================================================

tests/DCPS/NotifyTest/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7402
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/NotifyTest/publisher -d -u -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSPendingTimeout 3 
pub PID: 7409 started at 2023-04-19 14:01:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/NotifyTest/subscriber -d -u -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSPendingTimeout 3 
sub PID: 7410 started at 2023-04-19 14:01:43
(7402|7402) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
 expected 10/2/0
 recv 0
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/NotifyTest/run_test.pl Time:3s Result:0

==============================================================================

tests/DCPS/Observer/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Observer/subscriber  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 7427 started at 2023-04-19 14:01:46
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Observer/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 7428 started at 2023-04-19 14:01:46
Reader1 observes SAMPLE_READ
on_enabled 1 reader 0103000c.297a35f2.1d03fbda.00000007
on_qos_changed 1 reader 0103000c.297a35f2.1d03fbda.00000007 qos.user_data: cba
Reader2 observes SAMPLE_TAKEN
on_enabled 2 reader 0103000c.297a35f2.1d03fbda.01000007
on_enabled 1 writer 0103000c.297a35f2.1d04f918.00000002
Publisher waiting for subscriber...
on_associated 1 writer 0103000c.297a35f2.1d04f918.00000002 with reader 0103000c.297a35f2.1d03fbda.00000007
on_sample_sent 1 writer 0103000c.297a35f2.1d04f918.00000002
on_associated 2 writer 0103000c.297a35f2.1d04f918.00000002 with reader 0103000c.297a35f2.1d03fbda.01000007
on_qos_changed 2 reader 0103000c.297a35f2.1d03fbda.01000007 qos.user_data: cba
on_associated 1 reader 0103000c.297a35f2.1d03fbda.00000007 with writer 0103000c.297a35f2.1d04f918.00000002
on_associated 2 reader 0103000c.297a35f2.1d03fbda.01000007 with writer 0103000c.297a35f2.1d04f918.00000002
on_sample_read 1 reader 0103000c.297a35f2.1d03fbda.00000007
on_sample_received 1 reader 0103000c.297a35f2.1d03fbda.00000007
on_sample_received 2 reader 0103000c.297a35f2.1d03fbda.01000007
on_sample_sent 2 writer 0103000c.297a35f2.1d04f918.00000002
on_sample_read 2 reader 0103000c.297a35f2.1d03fbda.00000007
on_sample_received 3 reader 0103000c.297a35f2.1d03fbda.00000007
on_sample_received 4 reader 0103000c.297a35f2.1d03fbda.01000007
on_qos_changed 1 writer 0103000c.297a35f2.1d04f918.00000002 qos.user_data: abc
on_sample_sent 3 writer 0103000c.297a35f2.1d04f918.00000002
on_sample_received 5 reader 0103000c.297a35f2.1d03fbda.00000007
on_sample_taken 1 reader 0103000c.297a35f2.1d03fbda.01000007
on_sample_received 6 reader 0103000c.297a35f2.1d03fbda.01000007
on_sample_sent 4 writer 0103000c.297a35f2.1d04f918.00000002
on_sample_read 3 reader 0103000c.297a35f2.1d03fbda.00000007
on_sample_received 7 reader 0103000c.297a35f2.1d03fbda.00000007
on_sample_received 8 reader 0103000c.297a35f2.1d03fbda.01000007
on_sample_sent 5 writer 0103000c.297a35f2.1d04f918.00000002
on_sample_received 9 reader 0103000c.297a35f2.1d03fbda.00000007
on_sample_taken 2 reader 0103000c.297a35f2.1d03fbda.01000007
on_sample_received 10 reader 0103000c.297a35f2.1d03fbda.01000007
on_sample_sent 6 writer 0103000c.297a35f2.1d04f918.00000002
on_sample_received 11 reader 0103000c.297a35f2.1d03fbda.00000007
on_sample_taken 3 reader 0103000c.297a35f2.1d03fbda.01000007
on_sample_received 12 reader 0103000c.297a35f2.1d03fbda.01000007
Publisher cleanup
Publisher delete_contained_entities
on_deleted 1 writer 0103000c.297a35f2.1d04f918.00000002
on_sample_taken 4 reader 0103000c.297a35f2.1d03fbda.01000007
on_disassociated 1 writer 0103000c.297a35f2.1d04f918.00000002 from reader 0103000c.297a35f2.1d03fbda.00000007
on_disassociated 2 writer 0103000c.297a35f2.1d04f918.00000002 from reader 0103000c.297a35f2.1d03fbda.01000007
on_disassociated 1 reader 0103000c.297a35f2.1d03fbda.00000007 from writer 0103000c.297a35f2.1d04f918.00000002
on_disassociated 2 reader 0103000c.297a35f2.1d03fbda.01000007 from writer 0103000c.297a35f2.1d04f918.00000002
Subscriber cleanup
Subscriber delete_contained_entities
on_deleted 1 reader 0103000c.297a35f2.1d03fbda.00000007
check_ = 1
Publisher delete_participant
on_deleted 2 reader 0103000c.297a35f2.1d03fbda.01000007
Subscriber delete_participant
Publisher TheServiceParticipant.shutdown
check_ = 2
Publisher cleanup done
Subscriber TheServiceParticipant.shutdown
check_ = 3
Subscriber cleanup done
test PASSED.

auto_run_tests_finished: tests/DCPS/Observer/run_test.pl Time:11s Result:0

==============================================================================

tests/DCPS/ReliableBestEffortReaders/run_test.pl #

Testing best-effort readers:
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/subscriber  -DCPSConfigFile rtps_disc.ini -r 00 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 7440 started at 2023-04-19 14:01:57
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 7441 started at 2023-04-19 14:01:57
Reader1: best-effort
Reader2: best-effort
Publisher waiting for subscriber...
Reader1 received 1:1:test
Reader2 received 1:1:test
Reader1 received 1:2:test
Reader2 received 1:2:test
Reader1 received 1:3:test
Reader2 received 1:3:test
Reader1 received 1:4:test
Reader2 received 1:4:test
Publisher cleanup
Reader1 received 1:5:test
Reader2 received 1:5:test
Reader1 received: 5/5
Reader2 received: 5/5
Subscriber cleanup
test PASSED.

Testing reliable readers:
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/subscriber  -DCPSConfigFile rtps_disc.ini -r 11 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 7452 started at 2023-04-19 14:02:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 7453 started at 2023-04-19 14:02:02
Publisher waiting for subscriber...
Reader1: reliable
Reader2: reliable
Reader1 received 1:1:test
Reader2 received 1:1:test
Reader1 received 1:2:test
Reader2 received 1:2:test
Reader1 received 1:3:test
Reader2 received 1:3:test
Reader1 received 1:4:test
Reader2 received 1:4:test
Publisher cleanup
Reader1 received 1:5:test
Reader2 received 1:5:test
Reader1 received: 5/5
Reader2 received: 5/5
Subscriber cleanup
test PASSED.

Testing best-effort and reliable readers:
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/subscriber  -DCPSConfigFile rtps_disc.ini -r 01 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 7464 started at 2023-04-19 14:02:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 7465 started at 2023-04-19 14:02:07
Reader1: best-effort
Reader2: reliable
Publisher waiting for subscriber...
Reader1 received 1:1:test
Reader2 received 1:1:test
Reader1 received 1:2:test
Reader2 received 1:2:test
Reader1 received 1:3:test
Reader2 received 1:3:test
Reader1 received 1:4:test
Reader2 received 1:4:test
Publisher cleanup
Reader1 received 1:5:test
Reader2 received 1:5:test
Reader1 received: 5/5
Reader2 received: 5/5
Subscriber cleanup
test PASSED.

Testing reliable and best-effort readers:
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/subscriber  -DCPSConfigFile rtps_disc.ini -r 10 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 7476 started at 2023-04-19 14:02:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReliableBestEffortReaders/publisher  -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 7477 started at 2023-04-19 14:02:12
Reader1: reliable
Reader2: best-effort
Publisher waiting for subscriber...
Reader2 received 1:2:test
Reader2 received 1:3:test
Reader2 received 1:4:test
Publisher cleanup
Reader2 received 1:5:test
Reader1 received 1:2:test
Reader1 received 1:3:test
Reader1 received 1:4:test
Reader1 received 1:5:test
Reader1 received: 4/5
Reader2 received: 4/5
Subscriber cleanup
test PASSED.

auto_run_tests_finished: tests/DCPS/ReliableBestEffortReaders/run_test.pl Time:17s Result:0

==============================================================================

tests/DCPS/WriteDataContainer/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/WriteDataContainer/WriteDataContainerTest -DcpsBit 0 -ORBVerboseLogging 1 -DCPSDebugLevel 10 -DCPSTransportDebugLevel 1 -ORBLogFile WriteDataContainerTest.log -DCPSPendingTimeout 3 
WriteDataContainerTest PID: 7489 started at 2023-04-19 14:02:14
(7489|7489) write data container test start
test PASSED.

auto_run_tests_finished: tests/DCPS/WriteDataContainer/run_test.pl Time:3s Result:0

==============================================================================

tests/transport/simple/run_test.pl bp #

simple_subscriber -p 1:localhost:12063 -s 2:localhost:11516 -n 400 -q
simple_publisher -p 1:localhost:12063 -s 2:localhost:11516 -n 400 -c 128 -q
(7492|7495) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(7496|7499) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(7496|7496) Publisher total time required was 0.14121 seconds.
(7492|7492) Total time required is 0.17515 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl bp Time:16s Result:0

==============================================================================

tests/transport/simple/run_test.pl n #

simple_subscriber -p 1:localhost:11687 -s 2:localhost:17353 -n 400
simple_publisher -p 1:localhost:11687 -s 2:localhost:17353 -n 400
(7502|7505) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(7506|7509) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [1]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [2]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [3]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [4]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [5]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [6]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [7]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [8]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [9]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [10]]
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [11]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [12]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [13]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [14]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [15]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [16]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [17]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [18]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [19]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [20]]
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [21]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [22]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [23]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [24]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [25]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [26]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [27]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [28]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [29]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [30]]
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [31]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [32]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [33]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [34]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [35]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [36]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [37]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [38]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [39]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [40]]
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [41]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [42]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [43]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [44]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [45]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [46]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [47]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [48]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [49]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [50]]
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [51]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [52]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [53]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [54]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [55]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [56]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [57]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [58]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [59]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [60]]
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [61]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [62]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [63]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [64]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [65]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [66]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [67]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [68]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [69]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [70]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [71]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [72]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [73]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [74]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [75]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [76]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [77]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [78]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [79]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [80]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [81]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [82]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [83]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [84]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [85]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [86]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [87]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [88]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [89]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [90]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [91]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [92]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [93]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [94]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [95]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [96]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [97]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [98]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [99]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [100]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [101]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [102]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [103]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [104]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [105]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [106]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [107]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [108]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [109]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [110]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [111]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [112]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [113]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [114]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [115]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [116]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [117]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [118]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [119]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [120]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [121]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [122]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [123]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [124]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [125]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [126]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [127]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [128]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [129]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [130]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [131]]
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [132]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [133]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [134]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [135]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [136]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [137]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [138]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [139]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [140]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [141]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [142]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [143]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [144]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [145]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [146]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [147]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [148]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [149]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [150]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [151]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [152]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [153]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [154]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [155]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [156]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [157]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [158]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [159]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [160]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [161]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [162]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [163]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [164]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [165]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [166]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [167]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [168]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [169]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [170]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [171]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [172]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [173]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [174]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [175]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [176]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [177]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [178]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [179]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [180]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [181]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [182]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [183]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [184]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [185]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [186]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [187]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [188]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [189]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [190]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [191]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [192]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [193]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [194]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [195]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [196]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [197]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [198]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [199]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [200]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [201]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [202]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [203]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [204]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [205]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [206]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [207]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [208]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [209]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [210]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [211]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [212]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [213]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [214]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [215]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [216]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [217]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [218]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [219]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [220]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [221]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [222]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [223]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [224]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [225]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [226]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [227]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [228]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [229]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [230]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [231]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [232]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [233]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [234]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [235]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [236]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [237]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [238]]
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) The transport has confirmed that a sample has been delivered.
(7506|7506) Publisher total time required was 0.37096 seconds.
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [239]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [240]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [241]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [242]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [243]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [244]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [245]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [246]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [247]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [248]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [249]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [250]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [251]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [252]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [253]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [254]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [255]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [256]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [257]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [258]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [259]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [260]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [261]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [262]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [263]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [264]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [265]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [266]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [267]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [268]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [269]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [270]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [271]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [272]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [273]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [274]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [275]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [276]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [277]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [278]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [279]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [280]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [281]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [282]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [283]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [284]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [285]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [286]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [287]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [288]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [289]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [290]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [291]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [292]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [293]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [294]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [295]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [296]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [297]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [298]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [299]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [300]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [301]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [302]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [303]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [304]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [305]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [306]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [307]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [308]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [309]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [310]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [311]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [312]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [313]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [314]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [315]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [316]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [317]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [318]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [319]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [320]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [321]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [322]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [323]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [324]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [325]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [326]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [327]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [328]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [329]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [330]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [331]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [332]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [333]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [334]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [335]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [336]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [337]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [338]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [339]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [340]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [341]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [342]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [343]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [344]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [345]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [346]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [347]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [348]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [349]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [350]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [351]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [352]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [353]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [354]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [355]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [356]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [357]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [358]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [359]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [360]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [361]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [362]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [363]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [364]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [365]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [366]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [367]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [368]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [369]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [370]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [371]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [372]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [373]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [374]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [375]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [376]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [377]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [378]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [379]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [380]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [381]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [382]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [383]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [384]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [385]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [386]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [387]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [388]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [389]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [390]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [391]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [392]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [393]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [394]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [395]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [396]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [397]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [398]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [399]]
(7502|7505) Data has been received:
(7502|7505) Message: [Hello World! [400]]
(7502|7502) Total time required is 0.54992 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl n Time:2s Result:0

==============================================================================

tests/transport/simple/run_test.pl #

simple_subscriber -p 1:localhost:16014 -s 2:localhost:13977
simple_publisher -p 1:localhost:16014 -s 2:localhost:13977
(7511|7514) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(7515|7518) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(7511|7514) Data has been received:
(7511|7514) Message: [Hello World! [1]]
(7515|7515) The transport has confirmed that a sample has been delivered.
(7515|7515) Publisher total time required was 0.932 seconds.
(7511|7511) Total time required is 0.0 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl Time:2s Result:0

==============================================================================

tests/transport/simple/run_test.pl shmem bp #

simple_subscriber -p 1:localhost:11434 -s 2:localhost:17211 -m -n 400 -q
simple_publisher -p 1:localhost:11434 -s 2:localhost:17211 -m -n 400 -c 128 -q
(7529|7529) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7534|7534) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7529|7529) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(7534|7538) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(7534|7534) Publisher total time required was 0.6396 seconds.
(7529|7529) Total time required is 0.8903 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl shmem bp Time:19s Result:0

==============================================================================

tests/transport/simple/run_test.pl shmem n #

simple_subscriber -p 1:localhost:16238 -s 2:localhost:13120 -m -n 400
simple_publisher -p 1:localhost:16238 -s 2:localhost:13120 -m -n 400
(7542|7542) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7547|7547) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7542|7542) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(7547|7551) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [1]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [2]]
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [3]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [4]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [5]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [6]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [7]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [8]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [9]]
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [10]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [11]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [12]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [13]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [14]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [15]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [16]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [17]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [18]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [19]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [20]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [21]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [22]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [23]]
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [24]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [25]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [26]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [27]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [28]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [29]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [30]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [31]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [32]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [33]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [34]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [35]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [36]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [37]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [38]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [39]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [40]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [41]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [42]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [43]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [44]]
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [45]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [46]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [47]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [48]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [49]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [50]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [51]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [52]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [53]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [54]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [55]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [56]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [57]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [58]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [59]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [60]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [61]]
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [62]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [63]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [64]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [65]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [66]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [67]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [68]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [69]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [70]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [71]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [72]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [73]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [74]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [75]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [76]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [77]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [78]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [79]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [80]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [81]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [82]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [83]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [84]]
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [85]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [86]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [87]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [88]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [89]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [90]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [91]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [92]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [93]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [94]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [95]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [96]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [97]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [98]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [99]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [100]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [101]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [102]]
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [103]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [104]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [105]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [106]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [107]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [108]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [109]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [110]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [111]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [112]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [113]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [114]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [115]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [116]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [117]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [118]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [119]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [120]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [121]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [122]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [123]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [124]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [125]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [126]]
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [127]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [128]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [129]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [130]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [131]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [132]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [133]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [134]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [135]]
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [136]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [137]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [138]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [139]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [140]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [141]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [142]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [143]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [144]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [145]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [146]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [147]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [148]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [149]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Message: [Hello World! [150]]
(7547|7547) The transport has confirmed that a sample has been delivered.
(7542|7546) Data has been received:
(7547|7547) Publisher total time required was 0.15075 seconds.
(7542|7546) Message: [Hello World! [151]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [152]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [153]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [154]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [155]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [156]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [157]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [158]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [159]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [160]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [161]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [162]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [163]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [164]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [165]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [166]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [167]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [168]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [169]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [170]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [171]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [172]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [173]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [174]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [175]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [176]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [177]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [178]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [179]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [180]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [181]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [182]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [183]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [184]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [185]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [186]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [187]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [188]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [189]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [190]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [191]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [192]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [193]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [194]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [195]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [196]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [197]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [198]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [199]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [200]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [201]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [202]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [203]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [204]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [205]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [206]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [207]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [208]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [209]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [210]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [211]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [212]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [213]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [214]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [215]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [216]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [217]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [218]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [219]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [220]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [221]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [222]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [223]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [224]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [225]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [226]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [227]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [228]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [229]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [230]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [231]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [232]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [233]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [234]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [235]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [236]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [237]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [238]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [239]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [240]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [241]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [242]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [243]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [244]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [245]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [246]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [247]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [248]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [249]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [250]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [251]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [252]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [253]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [254]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [255]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [256]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [257]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [258]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [259]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [260]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [261]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [262]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [263]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [264]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [265]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [266]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [267]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [268]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [269]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [270]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [271]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [272]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [273]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [274]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [275]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [276]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [277]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [278]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [279]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [280]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [281]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [282]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [283]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [284]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [285]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [286]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [287]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [288]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [289]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [290]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [291]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [292]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [293]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [294]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [295]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [296]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [297]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [298]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [299]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [300]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [301]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [302]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [303]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [304]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [305]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [306]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [307]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [308]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [309]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [310]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [311]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [312]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [313]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [314]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [315]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [316]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [317]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [318]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [319]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [320]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [321]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [322]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [323]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [324]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [325]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [326]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [327]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [328]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [329]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [330]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [331]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [332]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [333]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [334]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [335]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [336]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [337]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [338]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [339]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [340]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [341]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [342]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [343]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [344]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [345]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [346]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [347]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [348]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [349]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [350]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [351]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [352]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [353]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [354]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [355]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [356]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [357]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [358]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [359]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [360]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [361]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [362]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [363]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [364]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [365]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [366]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [367]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [368]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [369]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [370]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [371]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [372]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [373]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [374]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [375]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [376]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [377]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [378]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [379]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [380]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [381]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [382]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [383]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [384]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [385]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [386]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [387]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [388]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [389]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [390]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [391]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [392]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [393]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [394]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [395]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [396]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [397]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [398]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [399]]
(7542|7546) Data has been received:
(7542|7546) Message: [Hello World! [400]]
(7542|7542) Total time required is 0.35372 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl shmem n Time:4s Result:0

==============================================================================

tests/transport/simple/run_test.pl shmem #

simple_subscriber -p 1:localhost:13043 -s 2:localhost:11830 -m
simple_publisher -p 1:localhost:13043 -s 2:localhost:11830 -m
(7553|7553) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7558|7558) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7553|7553) DataReader association with 01030000.00000000.00000001.00000102(99dbc960) is done flags=1.
(7558|7562) DataWriter association with 01030000.00000000.00000001.00000207(c29c6e2c) is done flags=3.
(7558|7558) The transport has confirmed that a sample has been delivered.
(7558|7558) Publisher total time required was 0.448 seconds.
(7553|7557) Data has been received:
(7553|7557) Message: [Hello World! [1]]
(7553|7553) Total time required is 0.0 seconds.

auto_run_tests_finished: tests/transport/simple/run_test.pl shmem Time:4s Result:0

==============================================================================

tests/transport/error_handling/run_test.pl #

test PASSED.

auto_run_tests_finished: tests/transport/error_handling/run_test.pl Time:1s Result:0

==============================================================================

tests/transport/network_resource/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/network_resource/NetworkResource  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile nr.log -DCPSPendingTimeout 3 
nr PID: 7571 started at 2023-04-19 14:03:05
[==========] Running 2 tests from 1 test case.
[----------] Global test environment set-up.
[----------] 2 tests from dds_DCPS_NetworkResource
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_double
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_double (104 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_triple
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_triple (16 ms)
[----------] 2 tests from dds_DCPS_NetworkResource (121 ms total)

[----------] Global test environment tear-down
[==========] 2 tests from 1 test case ran. (122 ms total)
[  PASSED  ] 2 tests.
test PASSED.

auto_run_tests_finished: tests/transport/network_resource/run_test.pl Time:0s Result:0

==============================================================================

performance-tests/DCPS/InfoRepo_population/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -NOBITS -o repo.ior
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/syncServer  -p2 -s1
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/publisher   -DCPSConfigFile pub.ini -DCPSBit 0 -t5 -n5 -p5 -s5
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/publisher   -DCPSConfigFile pub.ini -DCPSBit 0 -t5 -n5 -p5 -s5
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/performance-tests/DCPS/InfoRepo_population/subscriber   -DCPSConfigFile sub.ini -DCPSBit 0 -t5 -n5 -s5 -p10
(7582|7582) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
(7583|7583) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
(7584|7584) NOTICE: using DCPSBit value from command option (overrides value if it's in config file)
(7584|7584) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7583|7583) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(7582|7582) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.

Role: Topic,  15 instances in 78 milliseconds.
Role: Participant,  15 instances in 11 milliseconds.
Role: Publisher,  10 instances in 246 milliseconds.
Role: Subscriber,  5 instances in 1019 milliseconds.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: performance-tests/DCPS/InfoRepo_population/run_test.pl Time:2s Result:0

==============================================================================

DevGuideExamples/DCPS/Messenger/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7601
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/subscriber  -ORBDebugLevel 1 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 7608 started at 2023-04-19 14:03:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/publisher  -ORBDebugLevel 1 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 7609 started at 2023-04-19 14:03:07
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 100
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 101
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 102
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 103
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 104
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 105
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 106
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 107
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 108
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: DevGuideExamples/DCPS/Messenger/run_test.pl Time:1s Result:0

==============================================================================

DevGuideExamples/DCPS/Messenger/run_test.pl --rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/subscriber  -ORBDebugLevel 1 -DCPSConfigFile rtps.ini -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 7625 started at 2023-04-19 14:03:08
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger/publisher  -ORBDebugLevel 1 -DCPSConfigFile rtps.ini -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 7626 started at 2023-04-19 14:03:08
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 100
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 101
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 102
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 103
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 104
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 105
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 106
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 107
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 108
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
test PASSED.

auto_run_tests_finished: DevGuideExamples/DCPS/Messenger/run_test.pl --rtps Time:5s Result:0

==============================================================================

DevGuideExamples/DCPS/Messenger_ZeroCopy/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile DCPSInfoRepo.log -o repo.ior 
TAO (7638|7638) - Completed initializing the process-wide service context
TAO (7638|7638) - Default ORB services initialization begins
TAO (7638|7638) - ORBInitializer_Registry::register_orb_initializer 0 @0x1469800
TAO (7638|7638) - ORBInitializer_Registry::register_orb_initializer 1 @0x1466c00
TAO (7638|7638) - Default ORB services initialization completed
TAO (7638|7638) - We are the default ORB ...
TAO (7638|7638) - Initializing the orb-specific services
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/publisher  -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile publisher.log
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/DevGuideExamples/DCPS/Messenger_ZeroCopy/subscriber  -ORBDebugLevel 10 -DCPSDebugLevel 10 -DCPSTransportDebugLevel 6 -ORBLogFile subscriber.log
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 1
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = 2
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.

auto_run_tests_finished: DevGuideExamples/DCPS/Messenger_ZeroCopy/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/Messenger/run_test.pl udp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7664
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/subscriber -ORBDebugLevel 1 -DCPSConfigFile sub_udp.ini -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 7674 started at 2023-04-19 14:03:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/publisher -ORBDebugLevel 1 -DCPSConfigFile pub_udp.ini -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 7675 started at 2023-04-19 14:03:14
Starting publisher
Starting publisher with 1 args
Transport is UN-RELIABLE
Start Writing Samples
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
deleting contained entities
deleting participant
shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: tests/DCPS/Messenger/run_test.pl udp Time:11s Result:0

==============================================================================

tests/DCPS/Messenger/run_test.pl default_udp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7687
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/subscriber -ORBDebugLevel 1 -t udp -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 7694 started at 2023-04-19 14:03:25
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Messenger/publisher -ORBDebugLevel 1 -t udp -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 2 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 7695 started at 2023-04-19 14:03:25
Starting publisher
Starting publisher with 3 args
Transport is UN-RELIABLE
Start Writing Samples
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
deleting contained entities
deleting participant
shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: tests/DCPS/Messenger/run_test.pl default_udp Time:10s Result:0

==============================================================================

tests/DCPS/RecorderReplayer/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log   -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7711
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/publisher -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile pub.log -DCPSConfigFile pub.ini
publisher PID: 7718 started at 2023-04-19 14:03:36
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile sub.log -DCPSConfigFile sub.ini
subscriber PID: 7719 started at 2023-04-19 14:03:36
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/relay -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile relay.log -DCPSConfigFile relay.ini
relay PID: 7720 started at 2023-04-19 14:03:36
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 100
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 101
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 102
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 103
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 104
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 105
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 106
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 107
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 108
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: tests/DCPS/RecorderReplayer/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/RecorderReplayer/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/publisher -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile pub.log -DCPSConfigFile rtps_disc.ini
publisher PID: 7742 started at 2023-04-19 14:03:36
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile sub.log -DCPSConfigFile rtps_disc.ini
subscriber PID: 7743 started at 2023-04-19 14:03:36
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderReplayer/relay -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile relay.log -DCPSConfigFile rtps_disc.ini
relay PID: 7744 started at 2023-04-19 14:03:36
test PASSED.

auto_run_tests_finished: tests/DCPS/RecorderReplayer/run_test.pl rtps_disc Time:2s Result:0

==============================================================================

tests/DCPS/RecorderLogging/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -ORBDebugLevel 1 -ORBLogFile DCPSInfoRepo.log   -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7769
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/publisher -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile pub.log -DCPSConfigFile pub.ini
publisher PID: 7776 started at 2023-04-19 14:03:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/recorder -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile recorder.log -DCPSConfigFile recorder.ini
recorder PID: 7777 started at 2023-04-19 14:03:38
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
test PASSED.

auto_run_tests_finished: tests/DCPS/RecorderLogging/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/RecorderLogging/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/publisher -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile pub.log -DCPSConfigFile rtps_disc.ini
publisher PID: 7794 started at 2023-04-19 14:03:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RecorderLogging/recorder -ORBDebugLevel 1 -DCPSDebugLevel 4 -DCPSTransportDebugLevel 2 -DCPSPendingTimeout 3 -ORBLogFile recorder.log -DCPSConfigFile rtps_disc.ini
recorder PID: 7795 started at 2023-04-19 14:03:38
test PASSED.

auto_run_tests_finished: tests/DCPS/RecorderLogging/run_test.pl rtps_disc Time:1s Result:0

==============================================================================

examples/DCPS/Messenger_Imr/run_test.pl #

>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/TAO/orbsvcs/ImplRepo_Service/tao_imr_locator -o imr.ior  -orbendpoint iiop://:14250
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/TAO/orbsvcs/ImplRepo_Service/tao_imr_activator -o activator.ior -ORBInitRef ImplRepoService=file://imr.ior  -orbendpoint iiop://:14932
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -NOBITS -o repo.ior  -ORBuseimr 1 -ORBInitRef ImplRepoService=file://imr.ior
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/tao_imr -ORBInitRef ImplRepoService=file://imr.ior shutdown InfoRepo
Successfully shut down server <InfoRepo>
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/tao_imr -ORBInitRef ImplRepoService=file://imr.ior update InfoRepo -l flea -c "/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/.//DCPSInfoRepo  -NOBITS -o repo.ior  -ORBuseimr 1 -ORBInitRef ImplRepoService=file://imr.ior"
Successfully registered <InfoRepo>.
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/tao_imr -ORBInitRef ImplRepoService=file://imr.ior list -v
Server <InfoRepo>
  Activator: flea
  Command Line: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/.//DCPSInfoRepo  -NOBITS -o repo.ior  -ORBuseimr 1 -ORBInitRef ImplRepoService=file://imr.ior
  Working Directory: 
  Activation Mode: NORMAL
  Number of retries: 0
  Not currently running

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/publisher   -DCPSConfigFile pub.ini -orbendpoint iiop://:13428
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/examples/DCPS/Messenger_Imr/subscriber   -DCPSConfigFile sub.ini
(7819|7819) Writer::start
(7819|7836) Writer::svc begins.
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
(7819|7836)  14:03:40.957346 Writer::svc starting to write.
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
(7819|7836) Writer::svc finished.
(7819|7819) Writer::end
>>> /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/../notests_oci_tao-2_2_0_flea_linux_gcc_i1d1o0/ACE/bin/tao_imr -ORBInitRef ImplRepoService=file://imr.ior shutdown InfoRepo
Successfully shut down server <InfoRepo>
test PASSED.

auto_run_tests_finished: examples/DCPS/Messenger_Imr/run_test.pl Time:5s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7840
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_1.log
pub_1 PID: 7847 started at 2023-04-19 14:03:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log
sub_1 PID: 7848 started at 2023-04-19 14:03:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_2.log
pub_2 PID: 7849 started at 2023-04-19 14:03:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log
sub_2 PID: 7850 started at 2023-04-19 14:03:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_3.log
pub_3 PID: 7851 started at 2023-04-19 14:03:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log
sub_3 PID: 7852 started at 2023-04-19 14:03:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_4.log
pub_4 PID: 7853 started at 2023-04-19 14:03:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log
sub_4 PID: 7854 started at 2023-04-19 14:03:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_5.log
pub_5 PID: 7855 started at 2023-04-19 14:03:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log
sub_5 PID: 7856 started at 2023-04-19 14:03:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_6.log
pub_6 PID: 7857 started at 2023-04-19 14:03:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log
sub_6 PID: 7858 started at 2023-04-19 14:03:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_7.log
pub_7 PID: 7859 started at 2023-04-19 14:03:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log
sub_7 PID: 7860 started at 2023-04-19 14:03:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_8.log
pub_8 PID: 7861 started at 2023-04-19 14:03:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log
sub_8 PID: 7862 started at 2023-04-19 14:03:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_9.log
pub_9 PID: 7863 started at 2023-04-19 14:03:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log
sub_9 PID: 7864 started at 2023-04-19 14:03:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_10.log
pub_10 PID: 7865 started at 2023-04-19 14:03:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log
sub_10 PID: 7866 started at 2023-04-19 14:03:45
(7840|7840) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber 7848 got new message data:
 - From  : 7847
 - Count : 2
Subscriber 7848 is done. Exiting.
Subscriber 7848 got new message data:
 - From  : 7847
 - Count : 3
Subscriber 7848 got new message data:
 - From  : 7847
 - Count : 4
Subscriber 7850 got new message data:
 - From  : 7847
 - Count : 14
Subscriber 7850 is done. Exiting.
Subscriber 7852 got new message data:
 - From  : 7847
 - Count : 22
Subscriber 7852 is done. Exiting.
Subscriber 7854 got new message data:
 - From  : 7849
 - Count : 24
Subscriber 7854 is done. Exiting.
Subscriber 7854 got new message data:
 - From  : 7851
 - Count : 19
Subscriber 7854 got new message data:
 - From  : 7847
 - Count : 30
Subscriber 7854 got new message data:
 - From  : 7849
 - Count : 25
Subscriber 7854 got new message data:
 - From  : 7851
 - Count : 20
Subscriber 7854 got new message data:
 - From  : 7847
 - Count : 31
Subscriber 7856 got new message data:
 - From  : 7849
 - Count : 34
Subscriber 7856 is done. Exiting.
Subscriber 7860 got new message data:
 - From  : 7847
 - Count : 118
Subscriber 7860 is done. Exiting.
Subscriber 7860 got new message data:
 - From  : 7849
 - Count : 112
Subscriber 7860 got new message data:
 - From  : 7851
 - Count : 108
Subscriber 7860 got new message data:
 - From  : 7847
 - Count : 119
Subscriber 7860 got new message data:
 - From  : 7847
 - Count : 120
Subscriber 7860 got new message data:
 - From  : 7849
 - Count : 113
Subscriber 7860 got new message data:
 - From  : 7849
 - Count : 114
Subscriber 7860 got new message data:
 - From  : 7851
 - Count : 109
Subscriber 7862 got new message data:
 - From  : 7847
 - Count : 138
Subscriber 7862 is done. Exiting.
Subscriber 7858 got new message data:
 - From  : 7847
 - Count : 163
Subscriber 7858 got new message data:
 - From  : 7849
 - Count : 157
Subscriber 7858 got new message data:
 - From  : 7847
 - Count : 164
Subscriber 7858 got new message data:
 - From  : 7849
 - Count : 158
Subscriber 7858 got new message data:
 - From  : 7851
 - Count : 153
Subscriber 7858 is done. Exiting.
Subscriber 7866 got new message data:
 - From  : 7847
 - Count : 177
Subscriber 7866 is done. Exiting.
Subscriber 7866 got new message data:
 - From  : 7847
 - Count : 178
Subscriber 7866 got new message data:
 - From  : 7849
 - Count : 171
Subscriber 7866 got new message data:
 - From  : 7851
 - Count : 167
Subscriber 7866 got new message data:
 - From  : 7857
 - Count : 135
Subscriber 7864 got new message data:
 - From  : 7847
 - Count : 192
Subscriber 7864 is done. Exiting.
Publisher 7847 is done. Exiting.
Publisher 7849 is done. Exiting.
Publisher 7851 is done. Exiting.
Publisher 7857 is done. Exiting.
Publisher 7855 is done. Exiting.
Publisher 7859 is done. Exiting.
Publisher 7861 is done. Exiting.
Publisher 7863 is done. Exiting.
Publisher 7853 is done. Exiting.
Publisher 7865 is done. Exiting.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl publishers 10 subscribers 10 Time:11s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl rtps publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 7991
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps.ini 
pub_1 PID: 7998 started at 2023-04-19 14:03:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps.ini 
sub_1 PID: 7999 started at 2023-04-19 14:03:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps.ini 
pub_2 PID: 8000 started at 2023-04-19 14:03:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps.ini 
sub_2 PID: 8001 started at 2023-04-19 14:03:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps.ini 
pub_3 PID: 8002 started at 2023-04-19 14:03:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps.ini 
sub_3 PID: 8003 started at 2023-04-19 14:03:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps.ini 
pub_4 PID: 8004 started at 2023-04-19 14:03:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps.ini 
sub_4 PID: 8005 started at 2023-04-19 14:03:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps.ini 
pub_5 PID: 8006 started at 2023-04-19 14:03:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps.ini 
sub_5 PID: 8007 started at 2023-04-19 14:03:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps.ini 
pub_6 PID: 8008 started at 2023-04-19 14:03:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps.ini 
sub_6 PID: 8009 started at 2023-04-19 14:03:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps.ini 
pub_7 PID: 8010 started at 2023-04-19 14:03:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps.ini 
sub_7 PID: 8011 started at 2023-04-19 14:03:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps.ini 
pub_8 PID: 8012 started at 2023-04-19 14:03:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps.ini 
sub_8 PID: 8013 started at 2023-04-19 14:03:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps.ini 
pub_9 PID: 8014 started at 2023-04-19 14:03:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps.ini 
sub_9 PID: 8015 started at 2023-04-19 14:03:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps.ini 
pub_10 PID: 8016 started at 2023-04-19 14:03:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps.ini 
sub_10 PID: 8017 started at 2023-04-19 14:03:55
(7991|7991) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber 7999 got new message data:
 - From  : 7998
 - Count : 1
Subscriber 7999 is done. Exiting.
Subscriber 8003 got new message data:
 - From  : 7998
 - Count : 1
Subscriber 8001 got new message data:
 - From  : 7998
 - Count : 1
Subscriber 8003 is done. Exiting.
Subscriber 8001 is done. Exiting.
Subscriber 8001 got new message data:
 - From  : 7998
 - Count : 2
Subscriber 8001 got new message data:
 - From  : 7998
 - Count : 3
Subscriber 8001 got new message data:
 - From  : 7998
 - Count : 4
Subscriber 8001 got new message data:
 - From  : 7998
 - Count : 5
Subscriber 8001 got new message data:
 - From  : 7998
 - Count : 6
Subscriber 8005 got new message data:
 - From  : 7998
 - Count : 8
Subscriber 8005 is done. Exiting.
Subscriber 8007 got new message data:
 - From  : 7998
 - Count : 12
Subscriber 8007 is done. Exiting.
Subscriber 8007 got new message data:
 - From  : 7998
 - Count : 13
Subscriber 8007 got new message data:
 - From  : 7998
 - Count : 14
Subscriber 8009 got new message data:
 - From  : 7998
 - Count : 22
Subscriber 8009 is done. Exiting.
Subscriber 8015 got new message data:
 - From  : 7998
 - Count : 42
Subscriber 8015 got new message data:
 - From  : 8000
 - Count : 24
Subscriber 8015 got new message data:
 - From  : 8004
 - Count : 14
Subscriber 8015 is done. Exiting.
Subscriber 8011 got new message data:
 - From  : 7998
 - Count : 100
Subscriber 8011 got new message data:
 - From  : 8006
 - Count : 53
Subscriber 8011 got new message data:
 - From  : 8000
 - Count : 82
Subscriber 8011 got new message data:
 - From  : 8004
 - Count : 72
Subscriber 8011 got new message data:
 - From  : 8002
 - Count : 69
Subscriber 8011 got new message data:
 - From  : 8008
 - Count : 49
Subscriber 8011 got new message data:
 - From  : 7998
 - Count : 101
Subscriber 8011 got new message data:
 - From  : 8006
 - Count : 54
Subscriber 8011 got new message data:
 - From  : 8000
 - Count : 83
Subscriber 8011 got new message data:
 - From  : 8004
 - Count : 73
Subscriber 8011 is done. Exiting.
Subscriber 8011 got new message data:
 - From  : 8002
 - Count : 70
Subscriber 8017 got new message data:
 - From  : 7998
 - Count : 108
Subscriber 8017 got new message data:
 - From  : 8000
 - Count : 90
Subscriber 8017 got new message data:
 - From  : 8004
 - Count : 80
Subscriber 8017 got new message data:
 - From  : 8002
 - Count : 77
Subscriber 8017 got new message data:
 - From  : 8008
 - Count : 57
Subscriber 8017 got new message data:
 - From  : 7998
 - Count : 109
Subscriber 8017 got new message data:
 - From  : 8000
 - Count : 91
Subscriber 8017 got new message data:
 - From  : 8006
 - Count : 62
Subscriber 8017 got new message data:
 - From  : 8004
 - Count : 81
Subscriber 8017 got new message data:
 - From  : 8002
 - Count : 78
Subscriber 8017 got new message data:
 - From  : 8014
 - Count : 21
Subscriber 8017 is done. Exiting.
Subscriber 8013 got new message data:
 - From  : 8000
 - Count : 114
Subscriber 8013 got new message data:
 - From  : 7998
 - Count : 132
Subscriber 8013 got new message data:
 - From  : 8004
 - Count : 104
Subscriber 8013 got new message data:
 - From  : 8006
 - Count : 85
Subscriber 8013 got new message data:
 - From  : 8008
 - Count : 80
Subscriber 8013 got new message data:
 - From  : 8002
 - Count : 101
Subscriber 8013 got new message data:
 - From  : 8000
 - Count : 115
Subscriber 8013 is done. Exiting.
Subscriber 8013 got new message data:
 - From  : 7998
 - Count : 133
Subscriber 8013 got new message data:
 - From  : 8004
 - Count : 105
Subscriber 8013 got new message data:
 - From  : 8006
 - Count : 86
Subscriber 8013 got new message data:
 - From  : 8008
 - Count : 81
Publisher 7998 is done. Exiting.
Publisher 8000 is done. Exiting.
Publisher 8004 is done. Exiting.
Publisher 8002 is done. Exiting.
Publisher 8006 is done. Exiting.
Publisher 8008 is done. Exiting.
Publisher 8014 is done. Exiting.
Publisher 8010 is done. Exiting.
Publisher 8012 is done. Exiting.
Publisher 8016 is done. Exiting.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl rtps publishers 10 subscribers 10 Time:10s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl rtps_disc publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps_disc.ini 
pub_1 PID: 8142 started at 2023-04-19 14:04:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps_disc.ini 
sub_1 PID: 8143 started at 2023-04-19 14:04:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps_disc.ini 
pub_2 PID: 8144 started at 2023-04-19 14:04:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps_disc.ini 
sub_2 PID: 8145 started at 2023-04-19 14:04:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps_disc.ini 
pub_3 PID: 8146 started at 2023-04-19 14:04:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps_disc.ini 
sub_3 PID: 8147 started at 2023-04-19 14:04:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps_disc.ini 
pub_4 PID: 8148 started at 2023-04-19 14:04:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps_disc.ini 
sub_4 PID: 8149 started at 2023-04-19 14:04:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps_disc.ini 
pub_5 PID: 8150 started at 2023-04-19 14:04:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps_disc.ini 
sub_5 PID: 8151 started at 2023-04-19 14:04:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps_disc.ini 
pub_6 PID: 8152 started at 2023-04-19 14:04:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps_disc.ini 
sub_6 PID: 8153 started at 2023-04-19 14:04:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps_disc.ini 
pub_7 PID: 8154 started at 2023-04-19 14:04:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps_disc.ini 
sub_7 PID: 8155 started at 2023-04-19 14:04:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps_disc.ini 
pub_8 PID: 8156 started at 2023-04-19 14:04:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps_disc.ini 
sub_8 PID: 8157 started at 2023-04-19 14:04:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps_disc.ini 
pub_9 PID: 8158 started at 2023-04-19 14:04:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps_disc.ini 
sub_9 PID: 8159 started at 2023-04-19 14:04:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps_disc.ini 
pub_10 PID: 8160 started at 2023-04-19 14:04:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps_disc.ini 
sub_10 PID: 8161 started at 2023-04-19 14:04:05
Subscriber 8157 got new message data:
 - From  : 8150
 - Count : 239
Subscriber 8157 is done. Exiting.
Subscriber 8151 got new message data:
 - From  : 8150
 - Count : 249
Subscriber 8151 is done. Exiting.
Subscriber 8161 got new message data:
 - From  : 8154
 - Count : 251
Subscriber 8161 is done. Exiting.
Subscriber 8155 got new message data:
 - From  : 8154
 - Count : 251
Subscriber 8155 is done. Exiting.
Subscriber 8159 got new message data:
 - From  : 8154
 - Count : 260
Subscriber 8159 is done. Exiting.
Subscriber 8153 got new message data:
 - From  : 8148
 - Count : 291
Subscriber 8153 is done. Exiting.
Subscriber 8149 got new message data:
 - From  : 8142
 - Count : 314
Subscriber 8149 is done. Exiting.
Subscriber 8147 got new message data:
 - From  : 8142
 - Count : 314
Subscriber 8147 is done. Exiting.
Subscriber 8145 got new message data:
 - From  : 8144
 - Count : 325
Subscriber 8145 is done. Exiting.
Subscriber 8143 got new message data:
 - From  : 8150
 - Count : 327
Subscriber 8143 is done. Exiting.
Publisher 8144 is done. Exiting.
Publisher 8142 is done. Exiting.
Publisher 8146 is done. Exiting.
Publisher 8150 is done. Exiting.
Publisher 8148 is done. Exiting.
Publisher 8152 is done. Exiting.
Publisher 8156 is done. Exiting.
Publisher 8154 is done. Exiting.
Publisher 8158 is done. Exiting.
Publisher 8160 is done. Exiting.
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl rtps_disc publishers 10 subscribers 10 Time:8s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl rtps_disc_tcp publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_1 PID: 8265 started at 2023-04-19 14:04:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_1 PID: 8266 started at 2023-04-19 14:04:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_2 PID: 8267 started at 2023-04-19 14:04:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_2 PID: 8268 started at 2023-04-19 14:04:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_3 PID: 8269 started at 2023-04-19 14:04:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_3 PID: 8270 started at 2023-04-19 14:04:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_4 PID: 8271 started at 2023-04-19 14:04:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_4 PID: 8272 started at 2023-04-19 14:04:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_5 PID: 8273 started at 2023-04-19 14:04:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_5 PID: 8274 started at 2023-04-19 14:04:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_6 PID: 8275 started at 2023-04-19 14:04:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_6 PID: 8276 started at 2023-04-19 14:04:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_7 PID: 8277 started at 2023-04-19 14:04:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_7 PID: 8278 started at 2023-04-19 14:04:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_8 PID: 8279 started at 2023-04-19 14:04:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_8 PID: 8280 started at 2023-04-19 14:04:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_9 PID: 8281 started at 2023-04-19 14:04:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_9 PID: 8282 started at 2023-04-19 14:04:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_10 PID: 8283 started at 2023-04-19 14:04:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_10 PID: 8284 started at 2023-04-19 14:04:14
Subscriber 8266 got new message data:
 - From  : 8281
 - Count : 203
Subscriber 8266 is done. Exiting.
Subscriber 8272 got new message data:
 - From  : 8267
 - Count : 226
Subscriber 8272 is done. Exiting.
Subscriber 8284 got new message data:
 - From  : 8267
 - Count : 246
Subscriber 8284 is done. Exiting.
Subscriber 8278 got new message data:
 - From  : 8267
 - Count : 246
Subscriber 8278 is done. Exiting.
Subscriber 8268 got new message data:
 - From  : 8267
 - Count : 246
Subscriber 8268 is done. Exiting.
Subscriber 8270 got new message data:
 - From  : 8267
 - Count : 249
Subscriber 8270 is done. Exiting.
Subscriber 8280 got new message data:
 - From  : 8267
 - Count : 255
Subscriber 8280 is done. Exiting.
Subscriber 8276 got new message data:
 - From  : 8267
 - Count : 255
Subscriber 8276 is done. Exiting.
Subscriber 8274 got new message data:
 - From  : 8267
 - Count : 255
Subscriber 8274 is done. Exiting.
Subscriber 8282 got new message data:
 - From  : 8267
 - Count : 260
Subscriber 8282 is done. Exiting.
Publisher 8271 is done. Exiting.
Publisher 8265 is done. Exiting.
Publisher 8267 is done. Exiting.
Publisher 8269 is done. Exiting.
Publisher 8275 is done. Exiting.
Publisher 8273 is done. Exiting.
Publisher 8279 is done. Exiting.
Publisher 8277 is done. Exiting.
Publisher 8281 is done. Exiting.
Publisher 8283 is done. Exiting.
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl rtps_disc_tcp publishers 10 subscribers 10 Time:9s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl large_samples publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 8386
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_1.log
pub_1 PID: 8393 started at 2023-04-19 14:04:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log
sub_1 PID: 8394 started at 2023-04-19 14:04:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_2.log
pub_2 PID: 8395 started at 2023-04-19 14:04:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log
sub_2 PID: 8396 started at 2023-04-19 14:04:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_3.log
pub_3 PID: 8397 started at 2023-04-19 14:04:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log
sub_3 PID: 8398 started at 2023-04-19 14:04:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_4.log
pub_4 PID: 8399 started at 2023-04-19 14:04:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log
sub_4 PID: 8400 started at 2023-04-19 14:04:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_5.log
pub_5 PID: 8401 started at 2023-04-19 14:04:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log
sub_5 PID: 8402 started at 2023-04-19 14:04:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_6.log
pub_6 PID: 8403 started at 2023-04-19 14:04:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log
sub_6 PID: 8404 started at 2023-04-19 14:04:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_7.log
pub_7 PID: 8405 started at 2023-04-19 14:04:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log
sub_7 PID: 8406 started at 2023-04-19 14:04:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_8.log
pub_8 PID: 8407 started at 2023-04-19 14:04:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log
sub_8 PID: 8408 started at 2023-04-19 14:04:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_9.log
pub_9 PID: 8409 started at 2023-04-19 14:04:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log
sub_9 PID: 8410 started at 2023-04-19 14:04:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_10.log
pub_10 PID: 8411 started at 2023-04-19 14:04:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log
sub_10 PID: 8412 started at 2023-04-19 14:04:22
(8386|8386) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber 8398 got new message data:
 - From  : 8393
 - Count : 1
Subscriber 8396 got new message data:
 - From  : 8393
 - Count : 1
Subscriber 8402 got new message data:
 - From  : 8393
 - Count : 1
Subscriber 8394 got new message data:
 - From  : 8393
 - Count : 1
Subscriber 8400 got new message data:
 - From  : 8393
 - Count : 1
Subscriber 8404 got new message data:
 - From  : 8393
 - Count : 1
Subscriber 8408 got new message data:
 - From  : 8393
 - Count : 1
Subscriber 8398 is done. Exiting.
Subscriber 8406 got new message data:
 - From  : 8393
 - Count : 1
Subscriber 8408 is done. Exiting.
Subscriber 8410 got new message data:
 - From  : 8393
 - Count : 1
Subscriber 8396 is done. Exiting.
Subscriber 8406 is done. Exiting.
Subscriber 8402 is done. Exiting.
Subscriber 8394 is done. Exiting.
Subscriber 8400 is done. Exiting.
Subscriber 8404 is done. Exiting.
Subscriber 8410 is done. Exiting.
Subscriber 8412 got new message data:
 - From  : 8393
 - Count : 1
Subscriber 8412 is done. Exiting.
Subscriber 8400 got new message data:
 - From  : 8399
 - Count : 1
Subscriber 8394 got new message data:
 - From  : 8399
 - Count : 1
Subscriber 8406 got new message data:
 - From  : 8399
 - Count : 1
Subscriber 8412 got new message data:
 - From  : 8399
 - Count : 1
Subscriber 8400 got new message data:
 - From  : 8395
 - Count : 1
Subscriber 8406 got new message data:
 - From  : 8395
 - Count : 1
Subscriber 8412 got new message data:
 - From  : 8395
 - Count : 1
Subscriber 8406 got new message data:
 - From  : 8401
 - Count : 1
Subscriber 8400 got new message data:
 - From  : 8401
 - Count : 1
Subscriber 8412 got new message data:
 - From  : 8401
 - Count : 1
Subscriber 8412 got new message data:
 - From  : 8403
 - Count : 1
Subscriber 8406 got new message data:
 - From  : 8403
 - Count : 1
Subscriber 8412 got new message data:
 - From  : 8397
 - Count : 1
Publisher 8393 is done. Exiting.
Publisher 8399 is done. Exiting.
Publisher 8395 is done. Exiting.
Publisher 8401 is done. Exiting.
Publisher 8403 is done. Exiting.
Publisher 8397 is done. Exiting.
Publisher 8407 is done. Exiting.
Publisher 8405 is done. Exiting.
Publisher 8409 is done. Exiting.
Publisher 8411 is done. Exiting.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl large_samples publishers 10 subscribers 10 Time:12s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 8536
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps.ini 
pub_1 PID: 8543 started at 2023-04-19 14:04:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps.ini 
sub_1 PID: 8544 started at 2023-04-19 14:04:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps.ini 
pub_2 PID: 8545 started at 2023-04-19 14:04:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps.ini 
sub_2 PID: 8546 started at 2023-04-19 14:04:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps.ini 
pub_3 PID: 8547 started at 2023-04-19 14:04:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps.ini 
sub_3 PID: 8548 started at 2023-04-19 14:04:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps.ini 
pub_4 PID: 8549 started at 2023-04-19 14:04:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps.ini 
sub_4 PID: 8550 started at 2023-04-19 14:04:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps.ini 
pub_5 PID: 8551 started at 2023-04-19 14:04:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps.ini 
sub_5 PID: 8552 started at 2023-04-19 14:04:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps.ini 
pub_6 PID: 8553 started at 2023-04-19 14:04:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps.ini 
sub_6 PID: 8554 started at 2023-04-19 14:04:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps.ini 
pub_7 PID: 8555 started at 2023-04-19 14:04:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps.ini 
sub_7 PID: 8556 started at 2023-04-19 14:04:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps.ini 
pub_8 PID: 8557 started at 2023-04-19 14:04:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps.ini 
sub_8 PID: 8558 started at 2023-04-19 14:04:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps.ini 
pub_9 PID: 8559 started at 2023-04-19 14:04:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps.ini 
sub_9 PID: 8560 started at 2023-04-19 14:04:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps.ini 
pub_10 PID: 8561 started at 2023-04-19 14:04:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps.ini 
sub_10 PID: 8562 started at 2023-04-19 14:04:34
(8536|8536) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber 8562 got new message data:
 - From  : 8543
 - Count : 1
Subscriber 8548 got new message data:
 - From  : 8543
 - Count : 1
Subscriber 8548 is done. Exiting.
Subscriber 8554 got new message data:
 - From  : 8543
 - Count : 1
Subscriber 8554 is done. Exiting.
Subscriber 8558 got new message data:
 - From  : 8543
 - Count : 1
Subscriber 8558 is done. Exiting.
Subscriber 8546 got new message data:
 - From  : 8543
 - Count : 1
Subscriber 8546 is done. Exiting.
Subscriber 8560 got new message data:
 - From  : 8543
 - Count : 1
Subscriber 8560 is done. Exiting.
Subscriber 8552 got new message data:
 - From  : 8543
 - Count : 1
Subscriber 8552 is done. Exiting.
Subscriber 8550 got new message data:
 - From  : 8543
 - Count : 1
Subscriber 8550 is done. Exiting.
Subscriber 8562 is done. Exiting.
Subscriber 8556 got new message data:
 - From  : 8543
 - Count : 1
Subscriber 8550 got new message data:
 - From  : 8549
 - Count : 1
Subscriber 8556 is done. Exiting.
Subscriber 8562 got new message data:
 - From  : 8549
 - Count : 1
Subscriber 8544 got new message data:
 - From  : 8543
 - Count : 1
Subscriber 8544 is done. Exiting.
Subscriber 8556 got new message data:
 - From  : 8549
 - Count : 1
Subscriber 8544 got new message data:
 - From  : 8549
 - Count : 1
Subscriber 8556 got new message data:
 - From  : 8545
 - Count : 1
Subscriber 8550 got new message data:
 - From  : 8545
 - Count : 1
Subscriber 8562 got new message data:
 - From  : 8545
 - Count : 1
Subscriber 8544 got new message data:
 - From  : 8545
 - Count : 1
Subscriber 8544 got new message data:
 - From  : 8547
 - Count : 1
Subscriber 8556 got new message data:
 - From  : 8547
 - Count : 1
Subscriber 8544 got new message data:
 - From  : 8561
 - Count : 1
Publisher 8543 is done. Exiting.
Publisher 8549 is done. Exiting.
Publisher 8545 is done. Exiting.
Publisher 8547 is done. Exiting.
Publisher 8561 is done. Exiting.
Publisher 8553 is done. Exiting.
Publisher 8555 is done. Exiting.
Publisher 8557 is done. Exiting.
Publisher 8559 is done. Exiting.
Publisher 8551 is done. Exiting.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps publishers 10 subscribers 10 Time:11s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps_disc publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps_disc.ini 
pub_1 PID: 8694 started at 2023-04-19 14:04:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps_disc.ini 
sub_1 PID: 8695 started at 2023-04-19 14:04:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps_disc.ini 
pub_2 PID: 8696 started at 2023-04-19 14:04:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps_disc.ini 
sub_2 PID: 8697 started at 2023-04-19 14:04:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps_disc.ini 
pub_3 PID: 8698 started at 2023-04-19 14:04:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps_disc.ini 
sub_3 PID: 8699 started at 2023-04-19 14:04:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps_disc.ini 
pub_4 PID: 8700 started at 2023-04-19 14:04:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps_disc.ini 
sub_4 PID: 8701 started at 2023-04-19 14:04:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps_disc.ini 
pub_5 PID: 8702 started at 2023-04-19 14:04:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps_disc.ini 
sub_5 PID: 8703 started at 2023-04-19 14:04:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps_disc.ini 
pub_6 PID: 8704 started at 2023-04-19 14:04:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps_disc.ini 
sub_6 PID: 8705 started at 2023-04-19 14:04:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps_disc.ini 
pub_7 PID: 8706 started at 2023-04-19 14:04:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps_disc.ini 
sub_7 PID: 8707 started at 2023-04-19 14:04:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps_disc.ini 
pub_8 PID: 8708 started at 2023-04-19 14:04:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps_disc.ini 
sub_8 PID: 8709 started at 2023-04-19 14:04:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps_disc.ini 
pub_9 PID: 8710 started at 2023-04-19 14:04:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps_disc.ini 
sub_9 PID: 8711 started at 2023-04-19 14:04:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps_disc.ini 
pub_10 PID: 8712 started at 2023-04-19 14:04:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps_disc.ini 
sub_10 PID: 8713 started at 2023-04-19 14:04:45
Subscriber 8699 got new message data:
 - From  : 8694
 - Count : 2
Subscriber 8703 got new message data:
 - From  : 8694
 - Count : 2
Subscriber 8709 got new message data:
 - From  : 8694
 - Count : 2
Subscriber 8701 got new message data:
 - From  : 8694
 - Count : 2
Subscriber 8713 got new message data:
 - From  : 8694
 - Count : 2
Subscriber 8707 got new message data:
 - From  : 8694
 - Count : 2
Subscriber 8695 got new message data:
 - From  : 8694
 - Count : 2
Subscriber 8699 is done. Exiting.
Subscriber 8705 got new message data:
 - From  : 8694
 - Count : 2
Subscriber 8703 is done. Exiting.
Subscriber 8711 got new message data:
 - From  : 8694
 - Count : 2
Subscriber 8701 is done. Exiting.
Subscriber 8709 is done. Exiting.
Subscriber 8695 is done. Exiting.
Subscriber 8713 is done. Exiting.
Subscriber 8705 is done. Exiting.
Subscriber 8711 is done. Exiting.
Subscriber 8709 got new message data:
 - From  : 8696
 - Count : 2
Subscriber 8703 got new message data:
 - From  : 8696
 - Count : 2
Subscriber 8707 is done. Exiting.
Subscriber 8697 got new message data:
 - From  : 8694
 - Count : 2
Subscriber 8697 is done. Exiting.
Subscriber 8697 got new message data:
 - From  : 8696
 - Count : 2
Publisher 8694 is done. Exiting.
Publisher 8696 is done. Exiting.
Publisher 8700 is done. Exiting.
Publisher 8702 is done. Exiting.
Publisher 8698 is done. Exiting.
Publisher 8704 is done. Exiting.
Publisher 8706 is done. Exiting.
Publisher 8708 is done. Exiting.
Publisher 8710 is done. Exiting.
Publisher 8712 is done. Exiting.
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps_disc publishers 10 subscribers 10 Time:8s Result:0

==============================================================================

tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps_disc_tcp publishers 10 subscribers 10 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_1.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_1 PID: 8815 started at 2023-04-19 14:04:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_1.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_1 PID: 8816 started at 2023-04-19 14:04:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_2.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_2 PID: 8817 started at 2023-04-19 14:04:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_2.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_2 PID: 8818 started at 2023-04-19 14:04:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_3.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_3 PID: 8819 started at 2023-04-19 14:04:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_3.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_3 PID: 8820 started at 2023-04-19 14:04:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_4.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_4 PID: 8821 started at 2023-04-19 14:04:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_4.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_4 PID: 8822 started at 2023-04-19 14:04:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_5.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_5 PID: 8823 started at 2023-04-19 14:04:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_5.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_5 PID: 8824 started at 2023-04-19 14:04:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_6.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_6 PID: 8825 started at 2023-04-19 14:04:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_6.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_6 PID: 8826 started at 2023-04-19 14:04:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_7.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_7 PID: 8827 started at 2023-04-19 14:04:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_7.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_7 PID: 8828 started at 2023-04-19 14:04:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_8.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_8 PID: 8829 started at 2023-04-19 14:04:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_8.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_8 PID: 8830 started at 2023-04-19 14:04:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_9.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_9 PID: 8831 started at 2023-04-19 14:04:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_9.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_9 PID: 8832 started at 2023-04-19 14:04:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/publisher  -l -DCPSPendingTimeout 3 -ORBLogFile pub_10.log -DCPSConfigFile rtps_disc_tcp.ini 
pub_10 PID: 8833 started at 2023-04-19 14:04:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/EntityLifecycleStress/subscriber  -DCPSPendingTimeout 3 -ORBLogFile sub_10.log -DCPSConfigFile rtps_disc_tcp.ini 
sub_10 PID: 8834 started at 2023-04-19 14:04:53
Subscriber 8834 got new message data:
 - From  : 8833
 - Count : 1
Subscriber 8834 is done. Exiting.
Subscriber 8820 got new message data:
 - From  : 8833
 - Count : 1
Subscriber 8820 is done. Exiting.
Subscriber 8818 got new message data:
 - From  : 8815
 - Count : 2
Subscriber 8828 got new message data:
 - From  : 8815
 - Count : 2
Subscriber 8822 got new message data:
 - From  : 8815
 - Count : 2
Subscriber 8824 got new message data:
 - From  : 8815
 - Count : 2
Subscriber 8818 is done. Exiting.
Subscriber 8816 got new message data:
 - From  : 8815
 - Count : 2
Subscriber 8832 got new message data:
 - From  : 8815
 - Count : 2
Subscriber 8824 is done. Exiting.
Subscriber 8826 got new message data:
 - From  : 8815
 - Count : 2
Subscriber 8830 got new message data:
 - From  : 8815
 - Count : 2
Subscriber 8828 is done. Exiting.
Subscriber 8822 is done. Exiting.
Subscriber 8816 is done. Exiting.
Subscriber 8832 is done. Exiting.
Subscriber 8826 is done. Exiting.
Subscriber 8830 is done. Exiting.
Subscriber 8832 got new message data:
 - From  : 8819
 - Count : 2
Subscriber 8826 got new message data:
 - From  : 8819
 - Count : 2
Subscriber 8826 got new message data:
 - From  : 8817
 - Count : 2
Publisher 8815 is done. Exiting.
Publisher 8819 is done. Exiting.
Publisher 8817 is done. Exiting.
Publisher 8821 is done. Exiting.
Publisher 8827 is done. Exiting.
Publisher 8829 is done. Exiting.
Publisher 8825 is done. Exiting.
Publisher 8823 is done. Exiting.
Publisher 8833 is done. Exiting.
Publisher 8831 is done. Exiting.
test PASSED.

auto_run_tests_finished: tests/DCPS/EntityLifecycleStress/run_test.pl large_samples rtps_disc_tcp publishers 10 subscribers 10 Time:9s Result:0

==============================================================================

tests/DCPS/LivelinessKeepAliveTest/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 8937
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/subscriber  -DCPSPendingTimeout 3 
sub PID: 8944 started at 2023-04-19 14:05:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessKeepAliveTest/publisher SATELLITE_ONE -DCPSPendingTimeout 3 
pub PID: 8945 started at 2023-04-19 14:05:02
(8937|8937) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(8945|8945) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(8944|8944) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Subscriber: waiting for events
(8944|8944)  14:05:03.013221 waiting for publisher to be ready
(8944|8948) AlertDataReaderListenerImpl::on_subscription_matched
(8944|8944)  14:05:03.263522 Publisher is ready
(8944|8944)  14:05:03.263735 waiting for publisher to finish
(8945|8945) SATELLITE_ONE : Publishing Low Fuel Alert
(8945|8945) SATELLITE_ONE : Publishing Dead Battery Alert

==================================================
(8944|8957) AlertDataReaderListenerImpl::on_liveliness_changed
    Liveliness Changes     = 1
    Alive Count            = 1
    Alive Count Change     = 1
    Not Alive Count        = 0
    Not Alive Count Change = 0
==================================================


======================
(8944|8957) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (0) Fuel : Low - Your fuel is low
======================


======================
(8944|8957) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (0) Battery : Dead - Your battery is dead; re-orient the solar panels to recharge
======================

(8945|8945) SATELLITE_ONE : Publishing Low Fuel Alert

======================
(8944|8957) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (1) Fuel : Low - Your fuel is low
======================

(8945|8945) SATELLITE_ONE : Publishing Low Fuel Alert

======================
(8944|8957) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (2) Fuel : Low - Your fuel is low
======================

(8945|8945) SATELLITE_ONE : Publishing Dead Battery Alert

======================
(8944|8957) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (1) Battery : Dead - Your battery is dead; re-orient the solar panels to recharge
======================

(8945|8945) SATELLITE_ONE : Publishing Low Fuel Alert

======================
(8944|8957) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (3) Fuel : Low - Your fuel is low
======================

(8945|8945)  14:05:23.278994 Writers are finished
(8945|8945)  14:05:23.279343 waiting for readers to finish

======================
(8944|8957) AlertDataReaderListenerImpl::on_data_available:
	SATELLITE_ONE - Received Alert (9999) System : System Shutdown - The Satellite is being shut down
======================

(8944|8957) AlertDataReaderListenerImpl::on_data_available:
Received SYSTEM_SHUTDOWN message, udpating expected liveliness values
(8945|8945)  14:05:23.529538 Readers are finished

==================================================
(8944|8948) AlertDataReaderListenerImpl::on_liveliness_changed
    Liveliness Changes     = 2
    Alive Count            = 0
    Alive Count Change     = -1
    Not Alive Count        = 0
    Not Alive Count Change = 0
==================================================

(8944|8948) AlertDataReaderListenerImpl::on_subscription_matched
Exiting...

=== TEST COMPLETE ===
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/LivelinessKeepAliveTest/run_test.pl Time:26s Result:0

==============================================================================

tests/DCPS/LivelinessTimeout/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 8967
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/subscriber -t 19 -l 2 -x 67.1 -DCPSPendingTimeout 3 
sub PID: 8974 started at 2023-04-19 14:05:28
(8967|8967) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(8974|8974) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 67.1 -DCPSLivelinessFactor 300  -DCPSPendingTimeout 3 
pub0 PID: 8983 started at 2023-04-19 14:05:33
(8983|8983) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(8974|8982) DataReaderListenerImpl::on_subscription_matched
(8983|8989) DataReaderListenerImpl::on_publication_matched
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 64.1 -DCPSLivelinessFactor 80  -DCPSPendingTimeout 3 
pub1 PID: 8990 started at 2023-04-19 14:05:36
(8990|8990) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=0
(8974|8982) DataReaderListenerImpl::on_subscription_matched
(8990|8996) DataReaderListenerImpl::on_publication_matched
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=0
(8974|8975) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=1
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 61.1 -DCPSLivelinessFactor 80  -DCPSPendingTimeout 3 
pub2 PID: 9005 started at 2023-04-19 14:05:39
(9005|9005) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=1
(8974|8982) DataReaderListenerImpl::on_subscription_matched
(9005|9011) DataReaderListenerImpl::on_publication_matched
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=1
(8974|8975) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=2
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 58.1 -DCPSLivelinessFactor 80  -DCPSPendingTimeout 3 
pub3 PID: 9012 started at 2023-04-19 14:05:42
(9012|9012) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(8974|8982) DataReaderListenerImpl::on_subscription_matched
(9012|9018) DataReaderListenerImpl::on_publication_matched
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=2
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=2
(8974|8975) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=3
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 55.1 -DCPSLivelinessFactor 80  -DCPSPendingTimeout 3 
pub4 PID: 9019 started at 2023-04-19 14:05:45
(9019|9019) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(8974|8982) DataReaderListenerImpl::on_subscription_matched
(9019|9025) DataReaderListenerImpl::on_publication_matched
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=3
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=3
(8974|8975) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=4
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=4
(8974|8975) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=5
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=5
(8974|8975) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=6
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=6
(8974|8975) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=7
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=7
(8974|8975) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=8
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=8
(8974|8975) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=9
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=9
(8974|8975) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=10
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=10
(8974|8975) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=11
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=11
(8974|8975) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=12
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=12
(8974|8975) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=13
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=13
(8974|8975) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=14
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=14
(8974|8975) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=15
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=15
(8974|8975) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=16
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=16
(8974|8975) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=17
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=17
(8974|8975) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=18
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=18
(8974|8975) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=19
(8974|8982) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=19
(8974|8975) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=20
(8990|8992) DataReaderListenerImpl::on_publication_matched
(9005|9007) DataReaderListenerImpl::on_publication_matched
(8983|8985) DataReaderListenerImpl::on_publication_matched
(9019|9021) DataReaderListenerImpl::on_publication_matched
(9012|9014) DataReaderListenerImpl::on_publication_matched
(8974|8974) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=0, inactiveDelta=-1 deadline_missed=20
(8974|8974) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(8974|8974) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(8974|8974) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(8974|8974) DataReaderListenerImpl::on_liveliness_changed: active=0, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(8974|8974) DataReaderListenerImpl::on_subscription_matched
(8974|8974) subscriber: liviness deadline violated enough for test. Got 20, expected at least 19.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/LivelinessTimeout/run_test.pl Time:73s Result:0

==============================================================================

tests/DCPS/LivelinessTimeout/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/subscriber -t 19 -l 2 -x 67.1 -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
sub PID: 9039 started at 2023-04-19 14:06:41
(9039|9039) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 67.1 -DCPSLivelinessFactor 300  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub0 PID: 9045 started at 2023-04-19 14:06:46
(9045|9045) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(9045|9045) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(9045|9047) DataReaderListenerImpl::on_publication_matched
(9039|9041) DataReaderListenerImpl::on_subscription_matched
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 64.1 -DCPSLivelinessFactor 80  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub1 PID: 9051 started at 2023-04-19 14:06:49
(9051|9051) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(9051|9051) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=0
(9051|9053) DataReaderListenerImpl::on_publication_matched
(9039|9041) DataReaderListenerImpl::on_subscription_matched
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=0
(9039|9040) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=1
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 61.1 -DCPSLivelinessFactor 80  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub2 PID: 9057 started at 2023-04-19 14:06:52
(9057|9057) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(9057|9057) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=1
(9039|9041) DataReaderListenerImpl::on_subscription_matched
(9057|9059) DataReaderListenerImpl::on_publication_matched
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=1
(9039|9040) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=2
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 58.1 -DCPSLivelinessFactor 80  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub3 PID: 9063 started at 2023-04-19 14:06:55
(9063|9063) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(9063|9063) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=2
(9063|9065) DataReaderListenerImpl::on_publication_matched
(9039|9041) DataReaderListenerImpl::on_subscription_matched
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=2
(9039|9040) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=3
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LivelinessTimeout/publisher -l 1 -x 55.1 -DCPSLivelinessFactor 80  -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub4 PID: 9069 started at 2023-04-19 14:06:58
(9069|9069) NOTICE: using DCPSLivelinessFactor value from command option (overrides value if it's in config file)
(9069|9069) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=3
(9069|9071) DataReaderListenerImpl::on_publication_matched
(9039|9041) DataReaderListenerImpl::on_subscription_matched
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=0 deadline_missed=3
(9039|9040) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=4
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=4
(9039|9040) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=5
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=5
(9039|9040) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=6
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=6
(9039|9040) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=7
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=7
(9039|9040) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=8
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=8
(9039|9040) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=9
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=9
(9039|9040) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=10
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=10
(9039|9040) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=11
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=11
(9039|9040) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=12
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=12
(9039|9040) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=13
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=13
(9039|9040) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=14
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=14
(9039|9040) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=15
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=15
(9039|9040) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=16
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=16
(9039|9040) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=17
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=17
(9039|9040) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=18
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=18
(9039|9040) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=19
(9039|9042) DataReaderListenerImpl::on_liveliness_changed: active=5, inactive=0, activeDelta=1, inactiveDelta=-1 deadline_missed=19
(9039|9040) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=1, activeDelta=-1, inactiveDelta=1 deadline_missed=20
(9039|9039) DataReaderListenerImpl::on_liveliness_changed: active=4, inactive=0, activeDelta=0, inactiveDelta=-1 deadline_missed=20
(9039|9039) DataReaderListenerImpl::on_liveliness_changed: active=3, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(9039|9039) DataReaderListenerImpl::on_liveliness_changed: active=2, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(9039|9039) DataReaderListenerImpl::on_liveliness_changed: active=1, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(9039|9039) DataReaderListenerImpl::on_liveliness_changed: active=0, inactive=0, activeDelta=-1, inactiveDelta=0 deadline_missed=20
(9069|9071) DataReaderListenerImpl::on_publication_matched
(9063|9065) DataReaderListenerImpl::on_publication_matched
(9045|9047) DataReaderListenerImpl::on_publication_matched
(9057|9059) DataReaderListenerImpl::on_publication_matched
(9051|9053) DataReaderListenerImpl::on_publication_matched
(9039|9039) DataReaderListenerImpl::on_subscription_matched
(9039|9039) subscriber: liviness deadline violated enough for test. Got 20, expected at least 19.
test PASSED.

auto_run_tests_finished: tests/DCPS/LivelinessTimeout/run_test.pl rtps_disc Time:72s Result:0

==============================================================================

tests/DCPS/BitDataReader/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/BitDataReader/BitDataReader -DCPSConfigFile rtps.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile exec.log -DCPSPendingTimeout 3 
exec PID: 9092 started at 2023-04-19 14:07:53
test PASSED.

auto_run_tests_finished: tests/DCPS/BitDataReader/run_test.pl Time:5s Result:0

==============================================================================

tests/unit-tests/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/unit-tests/UnitTests  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile UnitTests.log -DCPSPendingTimeout 3 
UnitTests PID: 9097 started at 2023-04-19 14:07:59
[==========] Running 688 tests from 55 test cases.
[----------] Global test environment set-up.
[----------] 2 tests from dds_DCPS_SafeBool_T
[ RUN      ] dds_DCPS_SafeBool_T.virtual_method
[       OK ] dds_DCPS_SafeBool_T.virtual_method (0 ms)
[ RUN      ] dds_DCPS_SafeBool_T.non_virtual_method
[       OK ] dds_DCPS_SafeBool_T.non_virtual_method (0 ms)
[----------] 2 tests from dds_DCPS_SafeBool_T (0 ms total)

[----------] 12 tests from dds_DCPS_RcHandle_T
[ RUN      ] dds_DCPS_RcHandle_T.ctors
[       OK ] dds_DCPS_RcHandle_T.ctors (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.dtor
[       OK ] dds_DCPS_RcHandle_T.dtor (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.reset
[       OK ] dds_DCPS_RcHandle_T.reset (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.assign
[       OK ] dds_DCPS_RcHandle_T.assign (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.swaps
[       OK ] dds_DCPS_RcHandle_T.swaps (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.access
[       OK ] dds_DCPS_RcHandle_T.access (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.param_passing
[       OK ] dds_DCPS_RcHandle_T.param_passing (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.bool_conv
[       OK ] dds_DCPS_RcHandle_T.bool_conv (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.relational
[       OK ] dds_DCPS_RcHandle_T.relational (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.casts
[       OK ] dds_DCPS_RcHandle_T.casts (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.make_rch
[       OK ] dds_DCPS_RcHandle_T.make_rch (0 ms)
[ RUN      ] dds_DCPS_RcHandle_T.rchandle_from
[       OK ] dds_DCPS_RcHandle_T.rchandle_from (0 ms)
[----------] 12 tests from dds_DCPS_RcHandle_T (0 ms total)

[----------] 18 tests from dds_DCPS_XTypes_TypeAssignability
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.PrimitiveTypesTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.PrimitiveTypesTest_Assignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.PrimitiveTypesTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.PrimitiveTypesTest_NotAssignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.StringTypesTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.StringTypesTest_Assignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.StringTypesTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.StringTypesTest_NotAssignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.BitmaskTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.BitmaskTypeTest_Assignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.BitmaskTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.BitmaskTypeTest_NotAssignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.SequenceTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.SequenceTypeTest_Assignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.SequenceTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.SequenceTypeTest_NotAssignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.ArrayTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.ArrayTypeTest_Assignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.ArrayTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.ArrayTypeTest_NotAssignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.MapTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.MapTypeTest_Assignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.MapTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.MapTypeTest_NotAssignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.AliasTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.AliasTypeTest_Assignable (4 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.AliasTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.AliasTypeTest_NotAssignable (3 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.StructTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.StructTypeTest_Assignable (7 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.StructTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.StructTypeTest_NotAssignable (2 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.UnionTypeTest_Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.UnionTypeTest_Assignable (1 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability.UnionTypeTest_NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability.UnionTypeTest_NotAssignable (1 ms)
[----------] 18 tests from dds_DCPS_XTypes_TypeAssignability (26 ms total)

[----------] 2 tests from dds_DCPS_XTypes_TypeAssignability_EnumTypeTest
[ RUN      ] dds_DCPS_XTypes_TypeAssignability_EnumTypeTest.Assignable
[       OK ] dds_DCPS_XTypes_TypeAssignability_EnumTypeTest.Assignable (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeAssignability_EnumTypeTest.NotAssignable
[       OK ] dds_DCPS_XTypes_TypeAssignability_EnumTypeTest.NotAssignable (1 ms)
[----------] 2 tests from dds_DCPS_XTypes_TypeAssignability_EnumTypeTest (1 ms total)

[----------] 6 tests from dds_DCPS_XTypes_Utils
[ RUN      ] dds_DCPS_XTypes_Utils.extensibility
[       OK ] dds_DCPS_XTypes_Utils.extensibility (25 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.max_extensibility
[       OK ] dds_DCPS_XTypes_Utils.max_extensibility (20 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.get_keys
[       OK ] dds_DCPS_XTypes_Utils.get_keys (8 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.member_path_get_member_from_type
[       OK ] dds_DCPS_XTypes_Utils.member_path_get_member_from_type (2 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.less_than
[       OK ] dds_DCPS_XTypes_Utils.less_than (18 ms)
[ RUN      ] dds_DCPS_XTypes_Utils.MemberPathParser
[       OK ] dds_DCPS_XTypes_Utils.MemberPathParser (0 ms)
[----------] 6 tests from dds_DCPS_XTypes_Utils (73 ms total)

[----------] 40 tests from dds_DCPS_XTypes_DynamicDataXcdrReadImpl
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromStruct (15 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_StructWithOptionalMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_StructWithOptionalMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadSequenceFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadSequenceFromStruct (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadSequenceFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadSequenceFromUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromArray
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadValueFromArray (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_SkipNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_SkipNestedMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadRecursiveStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ReadRecursiveStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromStruct (6 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromStructXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromStructXCDR1 (6 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_StructWithOptionalMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_StructWithOptionalMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromUnionXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromUnionXCDR1 (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromStruct (4 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromStructXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromStructXCDR1 (5 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromUnionXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadSequenceFromUnionXCDR1 (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromArray
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromArray (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromArrayXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_ReadValueFromArrayXCDR1 (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_SkipNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_SkipNestedMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_SkipNestedMembersXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_SkipNestedMembersXCDR1 (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromStruct (6 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromStructXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromStructXCDR1 (6 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_StructWithOptionalMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_StructWithOptionalMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromUnionXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromUnionXCDR1 (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromStruct
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromStruct (5 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromStructXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromStructXCDR1 (4 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromUnion
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromUnion (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromUnionXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadSequenceFromUnionXCDR1 (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromArray
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromArray (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromArrayXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ReadValueFromArrayXCDR1 (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SkipNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SkipNestedMembers (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SkipNestedMembersXCDR1
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SkipNestedMembersXCDR1 (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SingleKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_SingleKeyOnly (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_NestedStructKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Appendable_NestedStructKeyOnly (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_NestedUnionKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_NestedUnionKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ArrayKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Mutable_ArrayKeyOnly (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ImplicitNestedKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Final_ImplicitNestedKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Enum_As_String
[       OK ] dds_DCPS_XTypes_DynamicDataXcdrReadImpl.Enum_As_String (2 ms)
[----------] 40 tests from dds_DCPS_XTypes_DynamicDataXcdrReadImpl (116 ms total)

[----------] 1 test from dds_DCPS_XTypes_DynamicDataAdapter
[ RUN      ] dds_DCPS_XTypes_DynamicDataAdapter.null_get_dynamic_data_adapter
[       OK ] dds_DCPS_XTypes_DynamicDataAdapter.null_get_dynamic_data_adapter (14 ms)
[----------] 1 test from dds_DCPS_XTypes_DynamicDataAdapter (14 ms total)

[----------] 11 tests from dds_DCPS_XTypes_DynamicTypeImpl
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyInnerStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyInnerStruct (4 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyOuterStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyOuterStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyAliasStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyAliasStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_StructWithTypedefMember
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_StructWithTypedefMember (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_PrimitiveKind
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_PrimitiveKind (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyUnion
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyUnion (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyInnerArray
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyInnerArray (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyOuterArray
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyOuterArray (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MySeq
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MySeq (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyAnonStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_MyAnonStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_CircularStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl.CompleteToDynamicType_CircularStruct (1 ms)
[----------] 11 tests from dds_DCPS_XTypes_DynamicTypeImpl (14 ms total)

[----------] 6 tests from dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyStruct
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyUnion
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyUnion (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.SCC
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.SCC (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.LSeq
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.LSeq (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.LArr
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.LArr (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyEnum
[       OK ] dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal.MyEnum (0 ms)
[----------] 6 tests from dds_DCPS_XTypes_DynamicTypeImpl_CompleteToMinimal (3 ms total)

[----------] 30 tests from dds_DCPS_XTypes_DynamicDataImpl
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToStruct (8 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToStructDefault
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToStructDefault (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToUnion (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToUnionDefault
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToUnionDefault (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToStruct (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToStructDefault
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToStructDefault (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteSequenceToUnion (4 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToArray
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToArray (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToArrayDefault
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteValueToArrayDefault (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteStructWithNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteStructWithNestedMembers (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteRecursiveStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteRecursiveStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteValueToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteValueToStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteValueToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteValueToUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteSequenceToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteSequenceToStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteSequenceToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteSequenceToUnion (3 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteStructWithNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteStructWithNestedMembers (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteValueToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteValueToStruct (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteValueToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteValueToUnion (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteSequenceToStruct
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteSequenceToStruct (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteSequenceToUnion
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteSequenceToUnion (2 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteStructWithNestedMembers
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteStructWithNestedMembers (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Final_WriteKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Appendable_WriteKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Mutable_WriteKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.MutableArray_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.MutableArray_WriteKeyOnly (0 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Nested_WriteKeyOnly
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Nested_WriteKeyOnly (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Union_Defaults
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Union_Defaults (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Union_Setter
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Union_Setter (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.Enum_As_String
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.Enum_As_String (1 ms)
[ RUN      ] dds_DCPS_XTypes_DynamicDataImpl.String_As_Enum
[       OK ] dds_DCPS_XTypes_DynamicDataImpl.String_As_Enum (1 ms)
[----------] 30 tests from dds_DCPS_XTypes_DynamicDataImpl (51 ms total)

[----------] 88 tests from dds_DCPS_XTypes_TypeObject
[ RUN      ] dds_DCPS_XTypes_TypeObject.maintest
[       OK ] dds_DCPS_XTypes_TypeObject.maintest (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Optional_equal
[       OK ] dds_DCPS_XTypes_TypeObject.Optional_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Optional_has_value
[       OK ] dds_DCPS_XTypes_TypeObject.Optional_has_value (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Optional_destructor
[       OK ] dds_DCPS_XTypes_TypeObject.Optional_destructor (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Optional_assignment
[       OK ] dds_DCPS_XTypes_TypeObject.Optional_assignment (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.Sequence_equal
[       OK ] dds_DCPS_XTypes_TypeObject.Sequence_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.ExtendedAnnotationParameterValue_equal
[       OK ] dds_DCPS_XTypes_TypeObject.ExtendedAnnotationParameterValue_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AnnotationParameterValue_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AnnotationParameterValue_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AppliedAnnotationParameter_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AppliedAnnotationParameter_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AppliedVerbatimAnnotation_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AppliedVerbatimAnnotation_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AppliedBuiltinMemberAnnotations_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AppliedBuiltinMemberAnnotations_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonStructMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonStructMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteMemberDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteMemberDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalMemberDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalMemberDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteStructMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteStructMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalStructMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalStructMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.AppliedBuiltinTypeAnnotations_equal
[       OK ] dds_DCPS_XTypes_TypeObject.AppliedBuiltinTypeAnnotations_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalTypeDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalTypeDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteTypeDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteTypeDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteStructHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteStructHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalStructHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalStructHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteStructType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteStructType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalStructType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalStructType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonUnionMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonUnionMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteUnionMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteUnionMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalUnionMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalUnionMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonDiscriminatorMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonDiscriminatorMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteDiscriminatorMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteDiscriminatorMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalDiscriminatorMember_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalDiscriminatorMember_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteUnionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteUnionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalUnionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalUnionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteUnionType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteUnionType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalUnionType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalUnionType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonAnnotationParameter_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonAnnotationParameter_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationParameter_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationParameter_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationParameter_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationParameter_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAnnotationType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAnnotationType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonAliasBody_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonAliasBody_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAliasBody_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAliasBody_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAliasBody_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAliasBody_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAliasHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAliasHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAliasHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAliasHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteAliasType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteAliasType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalAliasType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalAliasType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteElementDetail_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteElementDetail_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonCollectionElement_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonCollectionElement_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteCollectionElement_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteCollectionElement_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalCollectionElement_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalCollectionElement_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonCollectionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonCollectionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteCollectionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteCollectionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalCollectionHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalCollectionHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteSequenceType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteSequenceType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalSequenceType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalSequenceType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonArrayHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonArrayHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteArrayHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteArrayHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalArrayHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalArrayHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteArrayType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteArrayType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalArrayType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalArrayType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteMapType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteMapType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalMapType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalMapType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonEnumeratedLiteral_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonEnumeratedLiteral_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedLiteral_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedLiteral_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedLiteral_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedLiteral_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonEnumeratedHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonEnumeratedHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteEnumeratedType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalEnumeratedType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonBitflag_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonBitflag_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitflag_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitflag_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitflag_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitflag_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitmaskType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitmaskType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitmaskType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitmaskType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CommonBitfield_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CommonBitfield_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitfield_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitfield_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitfield_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitfield_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitsetHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitsetHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitsetHeader_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitsetHeader_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteBitsetType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteBitsetType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalBitsetType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalBitsetType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteExtendedType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteExtendedType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalExtendedType_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalExtendedType_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.CompleteTypeObject_equal
[       OK ] dds_DCPS_XTypes_TypeObject.CompleteTypeObject_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.MinimalTypeObject_equal
[       OK ] dds_DCPS_XTypes_TypeObject.MinimalTypeObject_equal (0 ms)
[ RUN      ] dds_DCPS_XTypes_TypeObject.TypeObject_equal
[       OK ] dds_DCPS_XTypes_TypeObject.TypeObject_equal (0 ms)
[----------] 88 tests from dds_DCPS_XTypes_TypeObject (1 ms total)

[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierTypeObjectPair
[ RUN      ] dds_DCPS_XTypes_TypeIdentifierTypeObjectPair.TypeIdentifierTypeObjectPair_equal
[       OK ] dds_DCPS_XTypes_TypeIdentifierTypeObjectPair.TypeIdentifierTypeObjectPair_equal (0 ms)
[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierTypeObjectPair (0 ms total)

[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierPair
[ RUN      ] dds_DCPS_XTypes_TypeIdentifierPair.TypeIdentifierPair_equal
[       OK ] dds_DCPS_XTypes_TypeIdentifierPair.TypeIdentifierPair_equal (0 ms)
[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierPair (0 ms total)

[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierWithSize
[ RUN      ] dds_DCPS_XTypes_TypeIdentifierWithSize.TypeIdentifierWithSize_equal
[       OK ] dds_DCPS_XTypes_TypeIdentifierWithSize.TypeIdentifierWithSize_equal (0 ms)
[----------] 1 test from dds_DCPS_XTypes_TypeIdentifierWithSize (0 ms total)

[----------] 5 tests from dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.enqueue
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.enqueue (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.enqueue_vector
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.enqueue_vector (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge_remote
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge_remote (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge_local
[       OK ] dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue.purge_local (0 ms)
[----------] 5 tests from dds_DCPS_transport_rtps_udp_TransactionalRtpsSendQueue (1 ms total)

[----------] 1 test from dds_DCPS_TransactionalRtpsSendQueue
[ RUN      ] dds_DCPS_TransactionalRtpsSendQueue.begin_and_end_transaction
[       OK ] dds_DCPS_TransactionalRtpsSendQueue.begin_and_end_transaction (0 ms)
[----------] 1 test from dds_DCPS_TransactionalRtpsSendQueue (0 ms total)

[----------] 5 tests from dds_DCPS_transport_rtps_udp_MetaSubmessage
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.DefaultConstructor
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.Constructor
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.Constructor (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.reset_destination
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.reset_destination (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.dedup_empty
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.dedup_empty (0 ms)
[ RUN      ] dds_DCPS_transport_rtps_udp_MetaSubmessage.Merging
[       OK ] dds_DCPS_transport_rtps_udp_MetaSubmessage.Merging (0 ms)
[----------] 5 tests from dds_DCPS_transport_rtps_udp_MetaSubmessage (0 ms total)

[----------] 6 tests from dds_DCPS_transport_framework_ReceivedDataSample
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.defctor
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.defctor (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.msgblock
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.msgblock (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.alloc
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.alloc (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.cont
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.cont (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.ser_copy_peek
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.ser_copy_peek (0 ms)
[ RUN      ] dds_DCPS_transport_framework_ReceivedDataSample.modifiers
[       OK ] dds_DCPS_transport_framework_ReceivedDataSample.modifiers (0 ms)
[----------] 6 tests from dds_DCPS_transport_framework_ReceivedDataSample (0 ms total)

[----------] 13 tests from dds_DCPS_transport_framework_TransportReassembly
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Main_Test
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Main_Test (2 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Empty
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Empty (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_Has_Frag
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_Has_Frag (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_First_Insert_Has_No_Gaps
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_First_Insert_Has_No_Gaps (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_Gaps
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_Gaps (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_One_Then_Gap
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_One_Then_Gap (1 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_One_Then_Split_Gap
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Insert_One_Then_Split_Gap (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Right_To_Left
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Right_To_Left (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Left_To_Right
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Left_To_Right (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Out_Of_Order
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Out_Of_Order (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Permutations
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Permutations (10 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Overlapping_Inputs
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Overlapping_Inputs (0 ms)
[ RUN      ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Overlapping_Inputs_2
[       OK ] dds_DCPS_transport_framework_TransportReassembly.Test_Fill_Overlapping_Inputs_2 (0 ms)
[----------] 13 tests from dds_DCPS_transport_framework_TransportReassembly (13 ms total)

[----------] 2 tests from copy_chain_visitor
[ RUN      ] copy_chain_visitor.simple_copy
[       OK ] copy_chain_visitor.simple_copy (1 ms)
[ RUN      ] copy_chain_visitor.simple_duplicate
[       OK ] copy_chain_visitor.simple_duplicate (0 ms)
[----------] 2 tests from copy_chain_visitor (1 ms total)

[----------] 4 tests from dds_DCPS_RTPS_DiscoveredEntities
[ RUN      ] dds_DCPS_RTPS_DiscoveredEntities.LocalEntity_ctor
[       OK ] dds_DCPS_RTPS_DiscoveredEntities.LocalEntity_ctor (0 ms)
[ RUN      ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredParticipant_ctor
[       OK ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredParticipant_ctor (0 ms)
[ RUN      ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredSubscription_ctor
[       OK ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredSubscription_ctor (0 ms)
[ RUN      ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredPublication_ctor
[       OK ] dds_DCPS_RTPS_DiscoveredEntities.DiscoveredPublication_ctor (0 ms)
[----------] 4 tests from dds_DCPS_RTPS_DiscoveredEntities (0 ms total)

[----------] 1 test from dds_DCPS_RTPS_ParameterListConverter
[ RUN      ] dds_DCPS_RTPS_ParameterListConverter.maintest
[       OK ] dds_DCPS_RTPS_ParameterListConverter.maintest (4 ms)
[----------] 1 test from dds_DCPS_RTPS_ParameterListConverter (4 ms total)

[----------] 5 tests from dds_DCPS_RTPS_AssociationRecord
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_ctor
[       OK ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_ctor (2 ms)
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_ctor_with_flags
[       OK ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_ctor_with_flags (1 ms)
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_local_tokens_sent
[       OK ] dds_DCPS_RTPS_AssociationRecord.BuiltinAssociationRecord_local_tokens_sent (0 ms)
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.WriterAssociationRecord_ctor
[       OK ] dds_DCPS_RTPS_AssociationRecord.WriterAssociationRecord_ctor (0 ms)
[ RUN      ] dds_DCPS_RTPS_AssociationRecord.ReaderAssociationRecord_ctor
[       OK ] dds_DCPS_RTPS_AssociationRecord.ReaderAssociationRecord_ctor (0 ms)
[----------] 5 tests from dds_DCPS_RTPS_AssociationRecord (4 ms total)

[----------] 8 tests from RtpsCoreTypeSupportImpl
[ RUN      ] RtpsCoreTypeSupportImpl.PropertyQosPolicy
[       OK ] RtpsCoreTypeSupportImpl.PropertyQosPolicy (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.InsertParameter
[       OK ] RtpsCoreTypeSupportImpl.InsertParameter (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.ExtractParameter
[       OK ] RtpsCoreTypeSupportImpl.ExtractParameter (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.InsertFilterResult_t
[       OK ] RtpsCoreTypeSupportImpl.InsertFilterResult_t (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.ExtractFilterResult_t
[       OK ] RtpsCoreTypeSupportImpl.ExtractFilterResult_t (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.ExtractSequenceWithUnverifiedLength
[       OK ] RtpsCoreTypeSupportImpl.ExtractSequenceWithUnverifiedLength (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.Serializer_test_issue4105
[       OK ] RtpsCoreTypeSupportImpl.Serializer_test_issue4105 (0 ms)
[ RUN      ] RtpsCoreTypeSupportImpl.Serializer_test_parameterlist
[       OK ] RtpsCoreTypeSupportImpl.Serializer_test_parameterlist (0 ms)
[----------] 8 tests from RtpsCoreTypeSupportImpl (0 ms total)

[----------] 1 test from dds_DCPS_RTPS_MessageUtils
[ RUN      ] dds_DCPS_RTPS_MessageUtils.maintest
[       OK ] dds_DCPS_RTPS_MessageUtils.maintest (0 ms)
[----------] 1 test from dds_DCPS_RTPS_MessageUtils (0 ms total)

[----------] 4 tests from dds_DCPS_RTPS_GuidGenerator
[ RUN      ] dds_DCPS_RTPS_GuidGenerator.not_NULL
[       OK ] dds_DCPS_RTPS_GuidGenerator.not_NULL (0 ms)
[ RUN      ] dds_DCPS_RTPS_GuidGenerator.populate
[       OK ] dds_DCPS_RTPS_GuidGenerator.populate (0 ms)
[ RUN      ] dds_DCPS_RTPS_GuidGenerator.getCount
[       OK ] dds_DCPS_RTPS_GuidGenerator.getCount (1 ms)
[ RUN      ] dds_DCPS_RTPS_GuidGenerator.interfaceName
[       OK ] dds_DCPS_RTPS_GuidGenerator.interfaceName (0 ms)
[----------] 4 tests from dds_DCPS_RTPS_GuidGenerator (1 ms total)

[----------] 18 tests from dds_DCPS_DispatchService
[ RUN      ] dds_DCPS_DispatchService.DefaultConstructor
[       OK ] dds_DCPS_DispatchService.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_DispatchService.ArgConstructorFour
[       OK ] dds_DCPS_DispatchService.ArgConstructorFour (0 ms)
[ RUN      ] dds_DCPS_DispatchService.ArgConstructorOrderAlpha
[       OK ] dds_DCPS_DispatchService.ArgConstructorOrderAlpha (1 ms)
[ RUN      ] dds_DCPS_DispatchService.ArgConstructorOrderBeta
[       OK ] dds_DCPS_DispatchService.ArgConstructorOrderBeta (0 ms)
[ RUN      ] dds_DCPS_DispatchService.SimpleDispatchAlpha
[       OK ] dds_DCPS_DispatchService.SimpleDispatchAlpha (1 ms)
[ RUN      ] dds_DCPS_DispatchService.SimpleDispatchBeta
[       OK ] dds_DCPS_DispatchService.SimpleDispatchBeta (0 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchAlpha
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchAlpha (0 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchAlpha_ImmediateShutdown
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchAlpha_ImmediateShutdown (1 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchBeta
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchBeta (0 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchBeta_ImmediateShutdown
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchBeta_ImmediateShutdown (1 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchGamma
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchGamma (1 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchGamma_ImmediateShutdown
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchGamma_ImmediateShutdown (2 ms)
[ RUN      ] dds_DCPS_DispatchService.InternalShutdown
[       OK ] dds_DCPS_DispatchService.InternalShutdown (0 ms)
[ RUN      ] dds_DCPS_DispatchService.ShutdownReturnsPending
[       OK ] dds_DCPS_DispatchService.ShutdownReturnsPending (1 ms)
[ RUN      ] dds_DCPS_DispatchService.TimedDispatch
[       OK ] dds_DCPS_DispatchService.TimedDispatch (90 ms)
[ RUN      ] dds_DCPS_DispatchService.TimedDispatchSingleThreaded
[       OK ] dds_DCPS_DispatchService.TimedDispatchSingleThreaded (91 ms)
[ RUN      ] dds_DCPS_DispatchService.CancelDispatch
[       OK ] dds_DCPS_DispatchService.CancelDispatch (71 ms)
[ RUN      ] dds_DCPS_DispatchService.CancelDispatchSingleThreaded
[       OK ] dds_DCPS_DispatchService.CancelDispatchSingleThreaded (70 ms)
[----------] 18 tests from dds_DCPS_DispatchService (330 ms total)

[----------] 9 tests from dds_DCPS_WaitSet
[ RUN      ] dds_DCPS_WaitSet.DefaultConstructor
[       OK ] dds_DCPS_WaitSet.DefaultConstructor (1 ms)
[ RUN      ] dds_DCPS_WaitSet.VarDuplicate
[       OK ] dds_DCPS_WaitSet.VarDuplicate (0 ms)
[ RUN      ] dds_DCPS_WaitSet.AttachDetachFailures
[       OK ] dds_DCPS_WaitSet.AttachDetachFailures (0 ms)
[ RUN      ] dds_DCPS_WaitSet.AttachDetach
[       OK ] dds_DCPS_WaitSet.AttachDetach (0 ms)
[ RUN      ] dds_DCPS_WaitSet.AttachDetachAll
[       OK ] dds_DCPS_WaitSet.AttachDetachAll (0 ms)
[ RUN      ] dds_DCPS_WaitSet.AttachNoDetach
[       OK ] dds_DCPS_WaitSet.AttachNoDetach (0 ms)
[ RUN      ] dds_DCPS_WaitSet.WaitBogusDeadline
[       OK ] dds_DCPS_WaitSet.WaitBogusDeadline (0 ms)
[ RUN      ] dds_DCPS_WaitSet.WaitDeadlineTimeout
[       OK ] dds_DCPS_WaitSet.WaitDeadlineTimeout (0 ms)
[ RUN      ] dds_DCPS_WaitSet.WaitDeadlineTriggered
[       OK ] dds_DCPS_WaitSet.WaitDeadlineTriggered (1 ms)
[----------] 9 tests from dds_DCPS_WaitSet (2 ms total)

[----------] 6 tests from dds_DCPS_RcObject
[ RUN      ] dds_DCPS_RcObject.ctors_weak
[       OK ] dds_DCPS_RcObject.ctors_weak (0 ms)
[ RUN      ] dds_DCPS_RcObject.assign_weak
[       OK ] dds_DCPS_RcObject.assign_weak (0 ms)
[ RUN      ] dds_DCPS_RcObject.add_remove_ref_count
[       OK ] dds_DCPS_RcObject.add_remove_ref_count (0 ms)
[ RUN      ] dds_DCPS_RcObject.lock_reset_weak
[       OK ] dds_DCPS_RcObject.lock_reset_weak (0 ms)
[ RUN      ] dds_DCPS_RcObject.lock_failed
[       OK ] dds_DCPS_RcObject.lock_failed (0 ms)
[ RUN      ] dds_DCPS_RcObject.compare_weak
[       OK ] dds_DCPS_RcObject.compare_weak (0 ms)
[----------] 6 tests from dds_DCPS_RcObject (0 ms total)

[----------] 2 tests from dds_DCPS_TimeDuration
[ RUN      ] dds_DCPS_TimeDuration.str
[       OK ] dds_DCPS_TimeDuration.str (0 ms)
[ RUN      ] dds_DCPS_TimeDuration.double_ctor
[       OK ] dds_DCPS_TimeDuration.double_ctor (0 ms)
[----------] 2 tests from dds_DCPS_TimeDuration (0 ms total)

[----------] 17 tests from dds_DCPS_ServiceEventDispatcher
[ RUN      ] dds_DCPS_ServiceEventDispatcher.DefaultConstructor
[       OK ] dds_DCPS_ServiceEventDispatcher.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.ArgConstructorFour
[       OK ] dds_DCPS_ServiceEventDispatcher.ArgConstructorFour (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.ArgConstructorOrderAlpha
[       OK ] dds_DCPS_ServiceEventDispatcher.ArgConstructorOrderAlpha (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.ArgConstructorOrderBeta
[       OK ] dds_DCPS_ServiceEventDispatcher.ArgConstructorOrderBeta (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.SimpleDispatchAlpha
[       OK ] dds_DCPS_ServiceEventDispatcher.SimpleDispatchAlpha (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.SimpleDispatchBeta
[       OK ] dds_DCPS_ServiceEventDispatcher.SimpleDispatchBeta (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchAlpha
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchAlpha (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchAlpha_ImmediateShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchAlpha_ImmediateShutdown (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchBeta
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchBeta (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchBeta_ImmediateShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchBeta_ImmediateShutdown (1 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchGamma
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchGamma (4 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchGamma_ImmediateShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchGamma_ImmediateShutdown (4 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.TestShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.TestShutdown (0 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.TimedDispatch
[       OK ] dds_DCPS_ServiceEventDispatcher.TimedDispatch (91 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.TimedDispatchSingleThreaded
[       OK ] dds_DCPS_ServiceEventDispatcher.TimedDispatchSingleThreaded (92 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.CancelDispatch
[       OK ] dds_DCPS_ServiceEventDispatcher.CancelDispatch (71 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.CancelDispatchSingleThreaded
[       OK ] dds_DCPS_ServiceEventDispatcher.CancelDispatchSingleThreaded (70 ms)
[----------] 17 tests from dds_DCPS_ServiceEventDispatcher (338 ms total)

[----------] 4 tests from dds_DCPS_DisjointSequence
[ RUN      ] dds_DCPS_DisjointSequence.maintest
[       OK ] dds_DCPS_DisjointSequence.maintest (1 ms)
[ RUN      ] dds_DCPS_DisjointSequence.OrderedRanges_main_test
[       OK ] dds_DCPS_DisjointSequence.OrderedRanges_main_test (0 ms)
[ RUN      ] dds_DCPS_DisjointSequence.OrderedRanges_insert_out_of_order
[       OK ] dds_DCPS_DisjointSequence.OrderedRanges_insert_out_of_order (0 ms)
[ RUN      ] dds_DCPS_DisjointSequence.OrderedRanges_insert_ranges
[       OK ] dds_DCPS_DisjointSequence.OrderedRanges_insert_ranges (0 ms)
[----------] 4 tests from dds_DCPS_DisjointSequence (2 ms total)

[----------] 7 tests from dds_DCPS_InternalDataReader
[ RUN      ] dds_DCPS_InternalDataReader.durable
[       OK ] dds_DCPS_InternalDataReader.durable (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.register_instance
[       OK ] dds_DCPS_InternalDataReader.register_instance (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.write
[       OK ] dds_DCPS_InternalDataReader.write (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.unregister_instance
[       OK ] dds_DCPS_InternalDataReader.unregister_instance (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.dispose
[       OK ] dds_DCPS_InternalDataReader.dispose (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.remove_publication
[       OK ] dds_DCPS_InternalDataReader.remove_publication (0 ms)
[ RUN      ] dds_DCPS_InternalDataReader.listener
[       OK ] dds_DCPS_InternalDataReader.listener (1002 ms)
[----------] 7 tests from dds_DCPS_InternalDataReader (1002 ms total)

[----------] 5 tests from dds_DCPS_InternalTopic
[ RUN      ] dds_DCPS_InternalTopic.connect_writer
[       OK ] dds_DCPS_InternalTopic.connect_writer (0 ms)
[ RUN      ] dds_DCPS_InternalTopic.connect_reader
[       OK ] dds_DCPS_InternalTopic.connect_reader (0 ms)
[ RUN      ] dds_DCPS_InternalTopic.disconnect_writer
[       OK ] dds_DCPS_InternalTopic.disconnect_writer (0 ms)
[ RUN      ] dds_DCPS_InternalTopic.disconnect_reader
[       OK ] dds_DCPS_InternalTopic.disconnect_reader (0 ms)
[ RUN      ] dds_DCPS_InternalTopic.connect_multiple
[       OK ] dds_DCPS_InternalTopic.connect_multiple (0 ms)
[----------] 5 tests from dds_DCPS_InternalTopic (0 ms total)

[----------] 26 tests from dds_DCPS_NetworkAddress
[ RUN      ] dds_DCPS_NetworkAddress.DefaultConstructor
[       OK ] dds_DCPS_NetworkAddress.DefaultConstructor (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructorDefault
[       OK ] dds_DCPS_NetworkAddress.AddrConstructorDefault (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructorPortStrIpFour
[       OK ] dds_DCPS_NetworkAddress.AddrConstructorPortStrIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructorStrIpFour
[       OK ] dds_DCPS_NetworkAddress.AddrConstructorStrIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructorPortStrIpSix
[       OK ] dds_DCPS_NetworkAddress.AddrConstructorPortStrIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.AddrConstructortStrIpSix
[       OK ] dds_DCPS_NetworkAddress.AddrConstructortStrIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.PortStrConstructorIpFour
[       OK ] dds_DCPS_NetworkAddress.PortStrConstructorIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.StrConstructorIpFour
[       OK ] dds_DCPS_NetworkAddress.StrConstructorIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.PortStrConstructorIpSix
[       OK ] dds_DCPS_NetworkAddress.PortStrConstructorIpSix (1 ms)
[ RUN      ] dds_DCPS_NetworkAddress.StrConstructorIpSix
[       OK ] dds_DCPS_NetworkAddress.StrConstructorIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.SetPortIpFour
[       OK ] dds_DCPS_NetworkAddress.SetPortIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.SetPortIpSix
[       OK ] dds_DCPS_NetworkAddress.SetPortIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.OperatorsIpFour
[       OK ] dds_DCPS_NetworkAddress.OperatorsIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.OperatorsIpSix
[       OK ] dds_DCPS_NetworkAddress.OperatorsIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsAnyIpFour
[       OK ] dds_DCPS_NetworkAddress.IsAnyIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsAnyIpSix
[       OK ] dds_DCPS_NetworkAddress.IsAnyIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsLoopbackIpFour
[       OK ] dds_DCPS_NetworkAddress.IsLoopbackIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsLoopbackIpSix
[       OK ] dds_DCPS_NetworkAddress.IsLoopbackIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsMulticastIpFour
[       OK ] dds_DCPS_NetworkAddress.IsMulticastIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsMulticastIpSix
[       OK ] dds_DCPS_NetworkAddress.IsMulticastIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsPrivateIpFour
[       OK ] dds_DCPS_NetworkAddress.IsPrivateIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsUniqueLocalIpSix
[       OK ] dds_DCPS_NetworkAddress.IsUniqueLocalIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsLinkLocalIpSix
[       OK ] dds_DCPS_NetworkAddress.IsLinkLocalIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsSiteLocalIpSix
[       OK ] dds_DCPS_NetworkAddress.IsSiteLocalIpSix (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsMoreLocalIpFour
[       OK ] dds_DCPS_NetworkAddress.IsMoreLocalIpFour (0 ms)
[ RUN      ] dds_DCPS_NetworkAddress.IsMoreLocalIpSix
[       OK ] dds_DCPS_NetworkAddress.IsMoreLocalIpSix (0 ms)
[----------] 26 tests from dds_DCPS_NetworkAddress (1 ms total)

[----------] 42 tests from dds_DCPS_PrinterValueWriter
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_struct
[       OK ] dds_DCPS_PrinterValueWriter.begin_struct (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_struct
[       OK ] dds_DCPS_PrinterValueWriter.end_struct (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_struct_member
[       OK ] dds_DCPS_PrinterValueWriter.begin_struct_member (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_struct_member
[       OK ] dds_DCPS_PrinterValueWriter.end_struct_member (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_union
[       OK ] dds_DCPS_PrinterValueWriter.begin_union (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_union
[       OK ] dds_DCPS_PrinterValueWriter.end_union (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_discriminator
[       OK ] dds_DCPS_PrinterValueWriter.begin_discriminator (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_discriminator
[       OK ] dds_DCPS_PrinterValueWriter.end_discriminator (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_union_member
[       OK ] dds_DCPS_PrinterValueWriter.begin_union_member (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_union_member
[       OK ] dds_DCPS_PrinterValueWriter.end_union_member (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_struct
[       OK ] dds_DCPS_PrinterValueWriter.complete_struct (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_array
[       OK ] dds_DCPS_PrinterValueWriter.begin_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_array
[       OK ] dds_DCPS_PrinterValueWriter.end_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_sequence
[       OK ] dds_DCPS_PrinterValueWriter.begin_sequence (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_sequence
[       OK ] dds_DCPS_PrinterValueWriter.end_sequence (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.begin_element
[       OK ] dds_DCPS_PrinterValueWriter.begin_element (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.end_element
[       OK ] dds_DCPS_PrinterValueWriter.end_element (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_sequence
[       OK ] dds_DCPS_PrinterValueWriter.complete_sequence (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_sequence_write_array
[       OK ] dds_DCPS_PrinterValueWriter.complete_sequence_write_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_array
[       OK ] dds_DCPS_PrinterValueWriter.complete_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_array_write_array
[       OK ] dds_DCPS_PrinterValueWriter.complete_array_write_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.complete_struct_with_complete_array
[       OK ] dds_DCPS_PrinterValueWriter.complete_struct_with_complete_array (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_boolean
[       OK ] dds_DCPS_PrinterValueWriter.write_boolean (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_byte
[       OK ] dds_DCPS_PrinterValueWriter.write_byte (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_int8
[       OK ] dds_DCPS_PrinterValueWriter.write_int8 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_uint8
[       OK ] dds_DCPS_PrinterValueWriter.write_uint8 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_int16
[       OK ] dds_DCPS_PrinterValueWriter.write_int16 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_uint16
[       OK ] dds_DCPS_PrinterValueWriter.write_uint16 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_int32
[       OK ] dds_DCPS_PrinterValueWriter.write_int32 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_uint32
[       OK ] dds_DCPS_PrinterValueWriter.write_uint32 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_int64
[       OK ] dds_DCPS_PrinterValueWriter.write_int64 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_uint64
[       OK ] dds_DCPS_PrinterValueWriter.write_uint64 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_float32
[       OK ] dds_DCPS_PrinterValueWriter.write_float32 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_float64
[       OK ] dds_DCPS_PrinterValueWriter.write_float64 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_float128
[       OK ] dds_DCPS_PrinterValueWriter.write_float128 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_fixed
[       OK ] dds_DCPS_PrinterValueWriter.write_fixed (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_char8
[       OK ] dds_DCPS_PrinterValueWriter.write_char8 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_char8_null
[       OK ] dds_DCPS_PrinterValueWriter.write_char8_null (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_char16
[       OK ] dds_DCPS_PrinterValueWriter.write_char16 (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_char16_null
[       OK ] dds_DCPS_PrinterValueWriter.write_char16_null (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_string
[       OK ] dds_DCPS_PrinterValueWriter.write_string (0 ms)
[ RUN      ] dds_DCPS_PrinterValueWriter.write_enum
[       OK ] dds_DCPS_PrinterValueWriter.write_enum (0 ms)
[----------] 42 tests from dds_DCPS_PrinterValueWriter (2 ms total)

[----------] 5 tests from dds_DCPS_SporadicEvent
[ RUN      ] dds_DCPS_SporadicEvent.ConstructDestruct
[       OK ] dds_DCPS_SporadicEvent.ConstructDestruct (0 ms)
[ RUN      ] dds_DCPS_SporadicEvent.Nominal
[       OK ] dds_DCPS_SporadicEvent.Nominal (2 ms)
[ RUN      ] dds_DCPS_SporadicEvent.MoveUp
[       OK ] dds_DCPS_SporadicEvent.MoveUp (2 ms)
[ RUN      ] dds_DCPS_SporadicEvent.NoDoubleExec
[       OK ] dds_DCPS_SporadicEvent.NoDoubleExec (201 ms)
[ RUN      ] dds_DCPS_SporadicEvent.Cancel
[       OK ] dds_DCPS_SporadicEvent.Cancel (101 ms)
[----------] 5 tests from dds_DCPS_SporadicEvent (306 ms total)

[----------] 4 tests from dds_DCPS_EventDispatcher
[ RUN      ] dds_DCPS_EventDispatcher.EventBaseConstructDestruct
[       OK ] dds_DCPS_EventDispatcher.EventBaseConstructDestruct (0 ms)
[ RUN      ] dds_DCPS_EventDispatcher.EventBasePassThrough
[       OK ] dds_DCPS_EventDispatcher.EventBasePassThrough (0 ms)
[ RUN      ] dds_DCPS_EventDispatcher.EventBaseHandleException
[       OK ] dds_DCPS_EventDispatcher.EventBaseHandleException (10 ms)
[ RUN      ] dds_DCPS_EventDispatcher.TestEventDispatcher
[       OK ] dds_DCPS_EventDispatcher.TestEventDispatcher (0 ms)
[----------] 4 tests from dds_DCPS_EventDispatcher (11 ms total)

[----------] 143 tests from dds_DCPS_Qos_Helper
[ RUN      ] dds_DCPS_Qos_Helper.maintest
[       OK ] dds_DCPS_Qos_Helper.maintest (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_value
[       OK ] dds_DCPS_Qos_Helper.TransportPriorityQosPolicyBuilder_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_duration
[       OK ] dds_DCPS_Qos_Helper.LifespanQosPolicyBuilder_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_kind
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_volatile
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_volatile (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_transient_local
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_transient_local (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_transient
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_transient (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_persistent
[       OK ] dds_DCPS_Qos_Helper.DurabilityQosPolicyBuilder_persistent (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_service_cleanup_delay
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_service_cleanup_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_history_kind
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_keep_last
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_keep_all
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_history_depth
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_samples
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_instances
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.DurabilityServiceQosPolicyBuilder_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_period
[       OK ] dds_DCPS_Qos_Helper.DeadlineQosPolicyBuilder_period (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_duration
[       OK ] dds_DCPS_Qos_Helper.LatencyBudgetQosPolicyBuilder_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_kind
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_shared
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_shared (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_exclusive
[       OK ] dds_DCPS_Qos_Helper.OwnershipQosPolicyBuilder_exclusive (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_value
[       OK ] dds_DCPS_Qos_Helper.OwnershipStrengthQosPolicyBuilder_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_kind
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_automatic
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_automatic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_manual_by_participant
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_manual_by_participant (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_manual_by_topic
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_manual_by_topic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_lease_duration
[       OK ] dds_DCPS_Qos_Helper.LivelinessQosPolicyBuilder_lease_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_samples
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_instances
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.ResourceLimitsQosPolicyBuilder_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_autodispose_unregistered_instances
[       OK ] dds_DCPS_Qos_Helper.WriterDataLifecycleQosPolicyBuilder_autodispose_unregistered_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_topic_data_value
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_topic_data_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_volatile
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_volatile (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_transient_local
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_transient_local (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_transient
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_transient (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_persistent
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_persistent (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_service_cleanup_delay
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_service_cleanup_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_history_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_keep_last
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_keep_all
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_history_depth
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_samples
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_instances
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_durability_service_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_deadline_period
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_deadline_period (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_latency_budget_duration
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_latency_budget_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_automatic
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_automatic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_manual_by_participant
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_manual_by_participant (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_manual_by_topic
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_manual_by_topic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_lease_duration
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_liveliness_lease_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_best_effort
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_best_effort (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_reliable
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_reliable (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_max_blocking_time
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_reliability_max_blocking_time (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_by_source_timestamp
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_by_source_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_by_reception_timestamp
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_destination_order_by_reception_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_keep_last
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_keep_all
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_depth
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_samples
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_instances
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_resource_limits_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_transport_priority_value
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_transport_priority_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_lifespan_duration
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_lifespan_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_kind
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_shared
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_shared (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_exclusive
[       OK ] dds_DCPS_Qos_Helper.TopicQosBuilder_ownership_exclusive (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_default_ctor
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_default_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ctor
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_volatile
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_volatile (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_transient_local
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_transient_local (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_transient
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_transient (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_persistent
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_persistent (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_service_cleanup_delay
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_service_cleanup_delay (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_history_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_keep_last
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_keep_all
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_history_depth
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_samples
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_instances
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_durability_service_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_deadline_period
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_deadline_period (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_latency_budget_duration
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_latency_budget_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_automatic
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_automatic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_manual_by_participant
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_manual_by_participant (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_manual_by_topic
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_manual_by_topic (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_lease_duration
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_liveliness_lease_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_best_effort
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_best_effort (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_reliable
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_reliable (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_max_blocking_time
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_reliability_max_blocking_time (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_by_source_timestamp
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_by_source_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_by_reception_timestamp
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_destination_order_by_reception_timestamp (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_keep_last
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_keep_last (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_keep_all
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_keep_all (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_depth
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_history_depth (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_samples
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_samples (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_instances
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_samples_per_instance
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_resource_limits_max_samples_per_instance (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_transport_priority_value
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_transport_priority_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_lifespan_duration
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_lifespan_duration (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_user_data_value
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_user_data_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_kind
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_kind (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_shared
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_shared (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_exclusive
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_exclusive (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_strength_value
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_ownership_strength_value (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_writer_data_lifecycle_autodispose_unregistered_instances
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_writer_data_lifecycle_autodispose_unregistered_instances (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Publisher_ctor
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Publisher_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Publisher_ctor_error
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Publisher_ctor_error (1 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Topic_ctor
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Topic_ctor (0 ms)
[ RUN      ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Topic_ctor_error
[       OK ] dds_DCPS_Qos_Helper.DataWriterQosBuilder_Topic_ctor_error (0 ms)
[----------] 143 tests from dds_DCPS_Qos_Helper (4 ms total)

[----------] 3 tests from dds_DCPS_PeriodicEvent
[ RUN      ] dds_DCPS_PeriodicEvent.ConstructDestruct
[       OK ] dds_DCPS_PeriodicEvent.ConstructDestruct (1 ms)
[ RUN      ] dds_DCPS_PeriodicEvent.Nominal
[       OK ] dds_DCPS_PeriodicEvent.Nominal (601 ms)
[ RUN      ] dds_DCPS_PeriodicEvent.NoDoubleExec
[       OK ] dds_DCPS_PeriodicEvent.NoDoubleExec (1001 ms)
[----------] 3 tests from dds_DCPS_PeriodicEvent (1603 ms total)

[----------] 1 test from dds_DCPS_DataSampleHeader
[ RUN      ] dds_DCPS_DataSampleHeader.valid_data
[       OK ] dds_DCPS_DataSampleHeader.valid_data (0 ms)
[----------] 1 test from dds_DCPS_DataSampleHeader (0 ms total)

[----------] 1 test from dds_DCPS_SequenceNumber
[ RUN      ] dds_DCPS_SequenceNumber.maintest
[       OK ] dds_DCPS_SequenceNumber.maintest (0 ms)
[----------] 1 test from dds_DCPS_SequenceNumber (0 ms total)

[----------] 1 test from dds_DCPS_MemoryPool
[ RUN      ] dds_DCPS_MemoryPool.maintest
[       OK ] dds_DCPS_MemoryPool.maintest (8 ms)
[----------] 1 test from dds_DCPS_MemoryPool (8 ms total)

[----------] 9 tests from dds_DCPS_SporadicTask
[ RUN      ] dds_DCPS_SporadicTask.schedule
[       OK ] dds_DCPS_SporadicTask.schedule (1 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_pmf
[       OK ] dds_DCPS_SporadicTask.schedule_pmf (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_error
[       OK ] dds_DCPS_SporadicTask.schedule_error (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_earlier
[       OK ] dds_DCPS_SporadicTask.schedule_earlier (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_later
[       OK ] dds_DCPS_SporadicTask.schedule_later (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.schedule_no_interceptor
[       OK ] dds_DCPS_SporadicTask.schedule_no_interceptor (1 ms)
[ RUN      ] dds_DCPS_SporadicTask.cancel_not_scheduled
[       OK ] dds_DCPS_SporadicTask.cancel_not_scheduled (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.cancel_scheduled
[       OK ] dds_DCPS_SporadicTask.cancel_scheduled (0 ms)
[ RUN      ] dds_DCPS_SporadicTask.cancel_no_interceptor
[       OK ] dds_DCPS_SporadicTask.cancel_no_interceptor (1 ms)
[----------] 9 tests from dds_DCPS_SporadicTask (4 ms total)

[----------] 2 tests from dds_DCPS_GuidUtils
[ RUN      ] dds_DCPS_GuidUtils.guid_t_vs_octet_array16_size_test
[       OK ] dds_DCPS_GuidUtils.guid_t_vs_octet_array16_size_test (0 ms)
[ RUN      ] dds_DCPS_GuidUtils.guid_pair_cmp
[       OK ] dds_DCPS_GuidUtils.guid_pair_cmp (0 ms)
[----------] 2 tests from dds_DCPS_GuidUtils (0 ms total)

[----------] 12 tests from dds_DCPS_NetworkResource
[ RUN      ] dds_DCPS_NetworkResource.hostname_info
[       OK ] dds_DCPS_NetworkResource.hostname_info (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.fully_qualified_domain_hostname_basic
(9097|9097) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
[       OK ] dds_DCPS_NetworkResource.fully_qualified_domain_hostname_basic (6 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4 (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6 (1 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_localhost
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_localhost (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_double_self
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_double_self (3 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_port0
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_port0 (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_localhost
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_localhost (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_localhost_port0
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv6_literals_localhost_port0 (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4_literals
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4_literals (0 ms)
[ RUN      ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4_literals_port0
[       OK ] dds_DCPS_NetworkResource.choose_single_coherent_address_ipv4_literals_port0 (0 ms)
[----------] 12 tests from dds_DCPS_NetworkResource (10 ms total)

[----------] 1 test from dds_DCPS_AtomicBool
[ RUN      ] dds_DCPS_AtomicBool.tsan_test
[       OK ] dds_DCPS_AtomicBool.tsan_test (2 ms)
[----------] 1 test from dds_DCPS_AtomicBool (2 ms total)

[----------] 3 tests from dds_DCPS_GuidConverter
[ RUN      ] dds_DCPS_GuidConverter.prefixes_to_ParticipantID
[       OK ] dds_DCPS_GuidConverter.prefixes_to_ParticipantID (0 ms)
[ RUN      ] dds_DCPS_GuidConverter.validate_Checksum
[       OK ] dds_DCPS_GuidConverter.validate_Checksum (0 ms)
[ RUN      ] dds_DCPS_GuidConverter.validate_IDs_and_Key
[       OK ] dds_DCPS_GuidConverter.validate_IDs_and_Key (0 ms)
[----------] 3 tests from dds_DCPS_GuidConverter (0 ms total)

[----------] 7 tests from dds_DCPS_InternalDataWriter
[ RUN      ] dds_DCPS_InternalDataWriter.add_reader
[       OK ] dds_DCPS_InternalDataWriter.add_reader (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.add_reader_durable
[       OK ] dds_DCPS_InternalDataWriter.add_reader_durable (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.remove_reader
[       OK ] dds_DCPS_InternalDataWriter.remove_reader (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.register_instance
[       OK ] dds_DCPS_InternalDataWriter.register_instance (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.write
[       OK ] dds_DCPS_InternalDataWriter.write (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.unregister_instance
[       OK ] dds_DCPS_InternalDataWriter.unregister_instance (0 ms)
[ RUN      ] dds_DCPS_InternalDataWriter.dispose
[       OK ] dds_DCPS_InternalDataWriter.dispose (0 ms)
[----------] 7 tests from dds_DCPS_InternalDataWriter (1 ms total)

[----------] 6 tests from dds_DCPS_ThreadPool
[ RUN      ] dds_DCPS_ThreadPool.NoArgConstructor
[       OK ] dds_DCPS_ThreadPool.NoArgConstructor (0 ms)
[ RUN      ] dds_DCPS_ThreadPool.ArgConstructorZero
[       OK ] dds_DCPS_ThreadPool.ArgConstructorZero (0 ms)
[ RUN      ] dds_DCPS_ThreadPool.ArgConstructorOne
[       OK ] dds_DCPS_ThreadPool.ArgConstructorOne (0 ms)
[ RUN      ] dds_DCPS_ThreadPool.ArgConstructorFour
[       OK ] dds_DCPS_ThreadPool.ArgConstructorFour (1 ms)
[ RUN      ] dds_DCPS_ThreadPool.ArgConstructorSixteen
[       OK ] dds_DCPS_ThreadPool.ArgConstructorSixteen (2 ms)
[ RUN      ] dds_DCPS_ThreadPool.CheckMembership
[       OK ] dds_DCPS_ThreadPool.CheckMembership (0 ms)
[----------] 6 tests from dds_DCPS_ThreadPool (3 ms total)

[----------] 1 test from dds_DCPS_DomainParticipantImpl
[ RUN      ] dds_DCPS_DomainParticipantImpl.maintest
[       OK ] dds_DCPS_DomainParticipantImpl.maintest (0 ms)
[----------] 1 test from dds_DCPS_DomainParticipantImpl (0 ms total)

[----------] 5 tests from dds_DCPS_FibonacciSequence
[ RUN      ] dds_DCPS_FibonacciSequence.size_t_test
[       OK ] dds_DCPS_FibonacciSequence.size_t_test (0 ms)
[ RUN      ] dds_DCPS_FibonacciSequence.TimeDuration_test
[       OK ] dds_DCPS_FibonacciSequence.TimeDuration_test (0 ms)
[ RUN      ] dds_DCPS_FibonacciSequence.advance_with_max
[       OK ] dds_DCPS_FibonacciSequence.advance_with_max (0 ms)
[ RUN      ] dds_DCPS_FibonacciSequence.set_with_value
[       OK ] dds_DCPS_FibonacciSequence.set_with_value (0 ms)
[ RUN      ] dds_DCPS_FibonacciSequence.set_with_two_values
[       OK ] dds_DCPS_FibonacciSequence.set_with_two_values (0 ms)
[----------] 5 tests from dds_DCPS_FibonacciSequence (0 ms total)

[----------] 8 tests from dds_DCPS_AddressCache
[ RUN      ] dds_DCPS_AddressCache.load_fail
[       OK ] dds_DCPS_AddressCache.load_fail (0 ms)
[ RUN      ] dds_DCPS_AddressCache.store_load_success
[       OK ] dds_DCPS_AddressCache.store_load_success (0 ms)
[ RUN      ] dds_DCPS_AddressCache.store_remove_load_fail
[       OK ] dds_DCPS_AddressCache.store_remove_load_fail (0 ms)
[ RUN      ] dds_DCPS_AddressCache.store_remove_id_load_fail
[       OK ] dds_DCPS_AddressCache.store_remove_id_load_fail (0 ms)
[ RUN      ] dds_DCPS_AddressCache.scoped_access_load_success
[       OK ] dds_DCPS_AddressCache.scoped_access_load_success (0 ms)
[ RUN      ] dds_DCPS_AddressCache.scoped_access_cache_hit
[       OK ] dds_DCPS_AddressCache.scoped_access_cache_hit (0 ms)
[ RUN      ] dds_DCPS_AddressCache.store_twice
[       OK ] dds_DCPS_AddressCache.store_twice (0 ms)
[ RUN      ] dds_DCPS_AddressCache.scoped_access_expired
[       OK ] dds_DCPS_AddressCache.scoped_access_expired (0 ms)
[----------] 8 tests from dds_DCPS_AddressCache (1 ms total)

[----------] 60 tests from dds_DCPS_Serializer
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding
[       OK ] dds_DCPS_Serializer.Encoding_Encoding (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_ENDIAN_BIG
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_ENDIAN_BIG (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_ENDIAN_BIG
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_ENDIAN_BIG (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_ENDIAN_BIG
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_ENDIAN_BIG (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_ENDIAN_LITTLE
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_ENDIAN_LITTLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_ENDIAN_LITTLE
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_ENDIAN_LITTLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_ENDIAN_LITTLE
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_ENDIAN_LITTLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_Swap
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_Swap (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_No_Swap
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_No_Swap (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_max_align
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR1_max_align (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_max_align
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_UNALIGNED_CDR_max_align (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_max_align
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_XCDR2_max_align (0 ms)
[ RUN      ] dds_DCPS_Serializer.align_value_no_offset
[       OK ] dds_DCPS_Serializer.align_value_no_offset (0 ms)
[ RUN      ] dds_DCPS_Serializer.align_value_add_offset
[       OK ] dds_DCPS_Serializer.align_value_add_offset (0 ms)
[ RUN      ] dds_DCPS_Serializer.align_value_smaller_than_by
[       OK ] dds_DCPS_Serializer.align_value_smaller_than_by (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_is_encapsulated_this_XCDR1
[       OK ] dds_DCPS_Serializer.Encoding_is_encapsulated_this_XCDR1 (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_is_encapsulated_this_UNALIGNED_CDR
[       OK ] dds_DCPS_Serializer.Encoding_is_encapsulated_this_UNALIGNED_CDR (0 ms)
[ RUN      ] dds_DCPS_Serializer.Encoding_Encoding_static_is_encacapsulatd_XCDR2
[       OK ] dds_DCPS_Serializer.Encoding_Encoding_static_is_encacapsulatd_XCDR2 (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader_Encoding_Valid
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader_Encoding_Valid (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader_Encoding_Invalid
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_EncapsulationHeader_Encoding_Invalid (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_BIG_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_BIG_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_LITTLE_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_LITTLE_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_LITTLE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR1_LITTLE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_APPENDABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_APPENDABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_XCDR2_LITTLE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_UNALIGNED_CDR_LITTLE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_from_encoding_UNALIGNED_CDR_LITTLE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_NOT_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_NOT_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR_LE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR_LE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR_LE_NOT_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR_LE_NOT_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR_BE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR_BE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR_LE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR_LE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR2_BE_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR2_BE_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR2_LE_FINAL
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_CDR2_LE_FINAL (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_D_CDR2_BE_APPENDABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_D_CDR2_BE_APPENDABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_D_CDR2_LE_APPENDABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_D_CDR2_LE_APPENDABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR2_BE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR2_BE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR2_LE_MUTABLE
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_PL_CDR2_LE_MUTABLE (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_XML
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_XML (0 ms)
[ RUN      ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_INVALID
[       OK ] dds_DCPS_Serializer.EncapsulationHeader_to_encoding_INVALID (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Encoding
[       OK ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Encoding (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Kind
[       OK ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Kind (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Kind_bool
[       OK ] dds_DCPS_Serializer.Serializer_Serializer_ACE_Message_Block_Kind_bool (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_set_endianness
[       OK ] dds_DCPS_Serializer.Serializer_set_endianness (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_swap_bytes_endianness
[       OK ] dds_DCPS_Serializer.Serializer_swap_bytes_endianness (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_basic_reference
[       OK ] dds_DCPS_Serializer.Serializer_align_context_basic_reference (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_basic
[       OK ] dds_DCPS_Serializer.Serializer_align_context_basic (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_2_buff
[       OK ] dds_DCPS_Serializer.Serializer_align_context_2_buff (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign
[       OK ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign_read
[       OK ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign_read (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign_read_with_min
[       OK ] dds_DCPS_Serializer.Serializer_align_context_2_buff_diff_walign_read_with_min (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_peek_align
[       OK ] dds_DCPS_Serializer.Serializer_test_peek_align (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_peek_depth
[       OK ] dds_DCPS_Serializer.Serializer_test_peek_depth (8 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_trim
[       OK ] dds_DCPS_Serializer.Serializer_test_trim (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_bad_string
[       OK ] dds_DCPS_Serializer.Serializer_test_bad_string (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_bad_wstring
[       OK ] dds_DCPS_Serializer.Serializer_test_bad_wstring (0 ms)
[ RUN      ] dds_DCPS_Serializer.Serializer_test_bad_string2
[       OK ] dds_DCPS_Serializer.Serializer_test_bad_string2 (0 ms)
[ RUN      ] dds_DCPS_Serializer.read_parameter_id_xcdr2
[       OK ] dds_DCPS_Serializer.read_parameter_id_xcdr2 (0 ms)
[----------] 60 tests from dds_DCPS_Serializer (10 ms total)

[----------] 6 tests from dds_DCPS_Time_Helper
[ RUN      ] dds_DCPS_Time_Helper.infinite_duration_to_time_value
[       OK ] dds_DCPS_Time_Helper.infinite_duration_to_time_value (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.infinite_duration_to_absolute_time_value
[       OK ] dds_DCPS_Time_Helper.infinite_duration_to_absolute_time_value (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.finite_duration_to_time_value
[       OK ] dds_DCPS_Time_Helper.finite_duration_to_time_value (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.Duration_t_difference
[       OK ] dds_DCPS_Time_Helper.Duration_t_difference (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.MonotonicTime_t_equal
[       OK ] dds_DCPS_Time_Helper.MonotonicTime_t_equal (0 ms)
[ RUN      ] dds_DCPS_Time_Helper.make_duration
[       OK ] dds_DCPS_Time_Helper.make_duration (0 ms)
[----------] 6 tests from dds_DCPS_Time_Helper (0 ms total)

[----------] Global test environment tear-down
[==========] 688 tests from 55 test cases ran. (3964 ms total)
[  PASSED  ] 688 tests.
test PASSED.

auto_run_tests_finished: tests/unit-tests/run_test.pl Time:5s Result:0

==============================================================================

tests/stress-tests/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/stress-tests/StressTests  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile StressTests.log -DCPSPendingTimeout 3 
StressTests PID: 9263 started at 2023-04-19 14:08:03
[==========] Running 7 tests from 5 test cases.
[----------] Global test environment set-up.
[----------] 2 tests from dds_DCPS_DispatchService
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchDelta
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchDelta (220 ms)
[ RUN      ] dds_DCPS_DispatchService.RecursiveDispatchDelta_ImmediateShutdown
[       OK ] dds_DCPS_DispatchService.RecursiveDispatchDelta_ImmediateShutdown (139 ms)
[----------] 2 tests from dds_DCPS_DispatchService (359 ms total)

[----------] 1 test from dds_DCPS_RcObject
[ RUN      ] dds_DCPS_RcObject.WRCH_lock_vs_RCH_reset
[       OK ] dds_DCPS_RcObject.WRCH_lock_vs_RCH_reset (5489 ms)
[----------] 1 test from dds_DCPS_RcObject (5489 ms total)

[----------] 2 tests from dds_DCPS_ServiceEventDispatcher
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchDelta
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchDelta (282 ms)
[ RUN      ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchDelta_ImmediateShutdown
[       OK ] dds_DCPS_ServiceEventDispatcher.RecursiveDispatchDelta_ImmediateShutdown (332 ms)
[----------] 2 tests from dds_DCPS_ServiceEventDispatcher (614 ms total)

[----------] 1 test from dds_DCPS_MultiTask
[ RUN      ] dds_DCPS_MultiTask.TimingChecker
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
total_count = 0
TestObj::execute() called at  14:08:12.397457
TestObj::execute() called at  14:08:14.397420
total_count = 2
TestObj::execute() called at  14:08:15.495935
TestObj::execute() called at  14:08:15.596229
TestObj::execute() called at  14:08:15.696446
TestObj::execute() called at  14:08:15.796641
TestObj::execute() called at  14:08:15.896896
TestObj::execute() called at  14:08:15.997109
TestObj::execute() called at  14:08:16.097303
TestObj::execute() called at  14:08:16.197587
TestObj::execute() called at  14:08:16.297713
TestObj::execute() called at  14:08:16.397927
TestObj::execute() called at  14:08:16.498139
TestObj::execute() called at  14:08:16.598450
TestObj::execute() called at  14:08:16.698757
TestObj::execute() called at  14:08:16.799108
TestObj::execute() called at  14:08:16.899442
TestObj::execute() called at  14:08:16.999743
TestObj::execute() called at  14:08:17.100049
TestObj::execute() called at  14:08:17.200397
TestObj::execute() called at  14:08:17.300643
TestObj::execute() called at  14:08:17.400879
enable_calls = 1742
total_count = 22
TestObj::execute() called at  14:08:19.402896
TestObj::execute() called at  14:08:21.402883
total_count = 24
[       OK ] dds_DCPS_MultiTask.TimingChecker (12648 ms)
[----------] 1 test from dds_DCPS_MultiTask (12649 ms total)

[----------] 1 test from dds_DCPS_SporadicTask
[ RUN      ] dds_DCPS_SporadicTask.TimingChecker
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
Checking that timer with requested delay of 0.100 s falls within epsilon value of 0.005 s: elapsed - requested = 0.000 s (PASS)
total_count = 0
TestObj::execute() called at  14:08:25.011886
total_count = 1
TestObj::execute() called at  14:08:28.110274
TestObj::execute() called at  14:08:28.210533
TestObj::execute() called at  14:08:28.310888
TestObj::execute() called at  14:08:28.411169
TestObj::execute() called at  14:08:28.511390
TestObj::execute() called at  14:08:28.611674
TestObj::execute() called at  14:08:28.711819
TestObj::execute() called at  14:08:28.812064
TestObj::execute() called at  14:08:28.912397
TestObj::execute() called at  14:08:29.012729
TestObj::execute() called at  14:08:29.112980
TestObj::execute() called at  14:08:29.213244
TestObj::execute() called at  14:08:29.313522
TestObj::execute() called at  14:08:29.413785
TestObj::execute() called at  14:08:29.513955
TestObj::execute() called at  14:08:29.614228
TestObj::execute() called at  14:08:29.714528
TestObj::execute() called at  14:08:29.814767
TestObj::execute() called at  14:08:29.915064
TestObj::execute() called at  14:08:30.015368
schedule_calls = 1744
total_count = 21
total_count = 21
[       OK ] dds_DCPS_SporadicTask.TimingChecker (9614 ms)
[----------] 1 test from dds_DCPS_SporadicTask (9614 ms total)

[----------] Global test environment tear-down
[==========] 7 tests from 5 test cases ran. (28726 ms total)
[  PASSED  ] 7 tests.
test PASSED.

auto_run_tests_finished: tests/stress-tests/run_test.pl Time:29s Result:0

==============================================================================

tests/DCPS/KeyTest/run_test.pl keymarshalling #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/KeyMarshalling  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile keymarshalling.log -DCPSPendingTimeout 3 
keymarshalling PID: 9303 started at 2023-04-19 14:08:32
Messenger1::Message
  bound = 0
0xd41d8cd98f00b204e9800998ecf8427e
Messenger2::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger3::Message
  bound = 8
0x0ee0646c1c77d8131cc8f4ee65c7673b
Messenger4::Message
  bound = <unbounded>
0x92ade9d87b727d3615c9dc1112a7c682
Messenger5::Message
  bound = <unbounded>
0xf1d3ff8443297732862df21dc4e57262
Messenger6::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger7::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger8::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger9::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger10::Message
  bound = <unbounded>
0x4716e03130f78bb16871f3b75b93bd26
Messenger11::Message
  bound = 16
0x190c4c105786a2121d85018939108a6c
Messenger12::Message
  bound = 20
0x4d5555e067dd97d08fef90959b1510cb
Messenger1::Message
  bound = 0
0xd41d8cd98f00b204e9800998ecf8427e
Messenger2::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger3::Message
  bound = 8
0x0ee0646c1c77d8131cc8f4ee65c7673b
Messenger4::Message
  bound = <unbounded>
0x92ade9d87b727d3615c9dc1112a7c682
Messenger5::Message
  bound = <unbounded>
0xf1d3ff8443297732862df21dc4e57262
Messenger6::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger7::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger8::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger9::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger10::Message
  bound = <unbounded>
0x4716e03130f78bb16871f3b75b93bd26
Messenger11::Message
  bound = 16
0x190c4c105786a2121d85018939108a6c
Messenger12::Message
  bound = 20
0x4d5555e067dd97d08fef90959b1510cb
Messenger1::Message
  bound = 0
0xd41d8cd98f00b204e9800998ecf8427e
Messenger2::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger3::Message
  bound = 8
0x0ee0646c1c77d8131cc8f4ee65c7673b
Messenger4::Message
  bound = <unbounded>
0x92ade9d87b727d3615c9dc1112a7c682
Messenger5::Message
  bound = <unbounded>
0xf1d3ff8443297732862df21dc4e57262
Messenger6::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger7::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger8::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger9::Message
  bound = 4
0x08d6c05a21512a79a1dfeb9d2a8f262f
Messenger10::Message
  bound = <unbounded>
0x4716e03130f78bb16871f3b75b93bd26
Messenger11::Message
  bound = 16
0x190c4c105786a2121d85018939108a6c
Messenger12::Message
  bound = 20
0x4d5555e067dd97d08fef90959b1510cb
test PASSED.

auto_run_tests_finished: tests/DCPS/KeyTest/run_test.pl keymarshalling Time:0s Result:0

==============================================================================

tests/DCPS/KeyTest/run_test.pl isbounded #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/IsBounded  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile isbounded.log -DCPSPendingTimeout 3 
isbounded PID: 9305 started at 2023-04-19 14:08:32
test PASSED.

auto_run_tests_finished: tests/DCPS/KeyTest/run_test.pl isbounded Time:0s Result:0

==============================================================================

tests/DCPS/KeyTest/run_test.pl md5 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/KeyTest/KeyTest_MD5  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile md5.log -DCPSPendingTimeout 3 
md5 PID: 9307 started at 2023-04-19 14:08:32
0xe4d909c290d0fb1ca068ffaddf22cbd0
0xe4d909c290d0fb1ca068ffaddf22cbd0
0xd41d8cd98f00b204e9800998ecf8427e
0xd41d8cd98f00b204e9800998ecf8427e
0x9e107d9d372bb6826bd81d3542a419d6
0x9e107d9d372bb6826bd81d3542a419d6
test PASSED.

auto_run_tests_finished: tests/DCPS/KeyTest/run_test.pl md5 Time:0s Result:0

==============================================================================

tests/DCPS/KeyTest/run_test.pl compiler #

compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_array_noindex.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_bad_nesting.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_missing_right_bracket.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_multi_dim_array.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_nofield.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_nonarrayindex2.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_nonarrayindex.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_struct_no_nest.idl
compiler_test: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/opendds_idl --default-nested invalid_sequence.idl

auto_run_tests_finished: tests/DCPS/KeyTest/run_test.pl compiler Time:1s Result:0

==============================================================================

tests/DCPS/CompatibilityTest/run_test.pl #

Test #1


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 9337
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c true -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9344 started at 2023-04-19 14:08:33
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9345 started at 2023-04-19 14:08:33
(9337|9337) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #2


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 9368
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 7 -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9375 started at 2023-04-19 14:08:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9376 started at 2023-04-19 14:08:43
(9368|9368) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #3


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 9393
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9400 started at 2023-04-19 14:08:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9401 started at 2023-04-19 14:08:54
(9393|9393) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #4


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 9417
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9424 started at 2023-04-19 14:09:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9425 started at 2023-04-19 14:09:05
(9417|9417) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #5


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 9442
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9449 started at 2023-04-19 14:09:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9450 started at 2023-04-19 14:09:15
(9442|9442) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #6


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 9465
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9472 started at 2023-04-19 14:09:29
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9473 started at 2023-04-19 14:09:29
(9465|9465) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #7


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 9496
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9503 started at 2023-04-19 14:09:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9504 started at 2023-04-19 14:09:39
(9496|9496) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #8


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 9519
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9526 started at 2023-04-19 14:09:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r best_effort -l 5 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9527 started at 2023-04-19 14:09:53
(9519|9519) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.


Test #9


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 9548
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9555 started at 2023-04-19 14:10:06
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d volatile -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9556 started at 2023-04-19 14:10:06
(9548|9548) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/CompatibilityTest/run_test.pl Time:107s Result:0

==============================================================================

tests/DCPS/CompatibilityTest/run_test.pl rtps_disc #

Test #1


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c true -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9574 started at 2023-04-19 14:10:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9575 started at 2023-04-19 14:10:20
test PASSED.


Test #2


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 7 -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9588 started at 2023-04-19 14:10:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9589 started at 2023-04-19 14:10:30
test PASSED.


Test #3


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9608 started at 2023-04-19 14:10:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9609 started at 2023-04-19 14:10:40
test PASSED.


Test #4


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9620 started at 2023-04-19 14:10:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9621 started at 2023-04-19 14:10:50
test PASSED.


Test #5


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9632 started at 2023-04-19 14:11:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9633 started at 2023-04-19 14:11:00
test PASSED.


Test #6


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9644 started at 2023-04-19 14:11:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9645 started at 2023-04-19 14:11:10
test PASSED.


Test #7


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9657 started at 2023-04-19 14:11:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9658 started at 2023-04-19 14:11:21
test PASSED.


Test #8


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9671 started at 2023-04-19 14:11:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r best_effort -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9672 started at 2023-04-19 14:11:31
test PASSED.


Test #9


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9692 started at 2023-04-19 14:11:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d volatile -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9693 started at 2023-04-19 14:11:41
test PASSED.

auto_run_tests_finished: tests/DCPS/CompatibilityTest/run_test.pl rtps_disc Time:91s Result:0

==============================================================================

tests/DCPS/CompatibilityTest/run_test.pl rtps_disc_tcp #

Test #1


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c true -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9705 started at 2023-04-19 14:11:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9706 started at 2023-04-19 14:11:51
test PASSED.


Test #2


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 7 -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9717 started at 2023-04-19 14:12:01
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9718 started at 2023-04-19 14:12:01
test PASSED.


Test #3


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9729 started at 2023-04-19 14:12:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9730 started at 2023-04-19 14:12:12
test PASSED.


Test #4


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l infinite -x 10 -c true -d volatile -k automatic -r best_effort -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9741 started at 2023-04-19 14:12:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c true -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9742 started at 2023-04-19 14:12:22
test PASSED.


Test #5


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9755 started at 2023-04-19 14:12:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9756 started at 2023-04-19 14:12:32
test PASSED.


Test #6


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9777 started at 2023-04-19 14:12:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l infinite -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9778 started at 2023-04-19 14:12:42
test PASSED.


Test #7


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9790 started at 2023-04-19 14:12:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r reliable -l 6 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9791 started at 2023-04-19 14:12:52
test PASSED.


Test #8


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9802 started at 2023-04-19 14:13:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d transient_local -k automatic -r best_effort -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9803 started at 2023-04-19 14:13:02
test PASSED.


Test #9


/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/subscriber -l 5 -x 10 -c false -d transient_local -k automatic -r reliable -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  - -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9814 started at 2023-04-19 14:13:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/CompatibilityTest/publisher -c false -d volatile -k automatic -r reliable -l 5 -x 10 -DCPSDebugLevel 4 -DCPSConfigFile rtps_disc_tcp.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9815 started at 2023-04-19 14:13:12
test PASSED.

auto_run_tests_finished: tests/DCPS/CompatibilityTest/run_test.pl rtps_disc_tcp Time:92s Result:0

==============================================================================

tests/DCPS/Partition/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 9827
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/publisher -ORBLogFile test_run.data -DCPSPendingTimeout 3 
pub PID: 9834 started at 2023-04-19 14:13:23
(9827|9827) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Partition/subscriber  -DCPSPendingTimeout 3 
sub PID: 9843 started at 2023-04-19 14:13:25
(9843|9843) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(9843|9849) DataReaderListener::on_subscription_matched
(9843|9849) Requested Partition
Partition	Name
=========	====
** Zero length partition name sequence (default) **
(9843|9843) DataReaderListener::on_subscription_matched
(9843|9843) Requested Partition
Partition	Name
=========	====
** Zero length partition name sequence (default) **
(9843|9845) DataReaderListener::on_subscription_matched
(9843|9845) Requested Partition
Partition	Name
=========	====
 0		Amadeus
 1		Wun1Two23Tree
(9843|9843) DataReaderListener::on_subscription_matched
(9843|9843) Requested Partition
Partition	Name
=========	====
 0		Amadeus
 1		Wun1Two23Tree
(9843|9845) DataReaderListener::on_subscription_matched
(9843|9845) Requested Partition
Partition	Name
=========	====
 0		ZiggieStardust
(9843|9845) DataReaderListener::on_subscription_matched
(9843|9845) Requested Partition
Partition	Name
=========	====
 0		""
(9843|9843) DataReaderListener::on_subscription_matched
(9843|9843) Requested Partition
Partition	Name
=========	====
 0		""
(9843|9845) DataReaderListener::on_subscription_matched
(9843|9845) Requested Partition
Partition	Name
=========	====
 0		*
(9843|9845) DataReaderListener::on_subscription_matched
(9843|9845) Requested Partition
Partition	Name
=========	====
 0		*
(9843|9843) DataReaderListener::on_subscription_matched
(9843|9843) Requested Partition
Partition	Name
=========	====
 0		*
(9843|9845) DataReaderListener::on_subscription_matched
(9843|9845) Requested Partition
Partition	Name
=========	====
 0		*
(9843|9844) DataReaderListener::on_subscription_matched
(9843|9844) Requested Partition
Partition	Name
=========	====
** Zero length partition name sequence (default) **
(9843|9844) DataReaderListener::on_subscription_matched
(9843|9844) Requested Partition
Partition	Name
=========	====
 0		Amadeus
 1		Wun1Two23Tree
(9843|9844) DataReaderListener::on_subscription_matched
(9843|9844) Requested Partition
Partition	Name
=========	====
 0		ZiggieStardust
(9843|9844) DataReaderListener::on_subscription_matched
(9843|9844) Requested Partition
Partition	Name
=========	====
 0		""
(9843|9844) DataReaderListener::on_subscription_matched
(9843|9844) Requested Partition
Partition	Name
=========	====
 0		*
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Partition/run_test.pl Time:20s Result:0

==============================================================================

tests/DCPS/Deadline/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 9861
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/publisher -DCPSConfigFile pub.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9868 started at 2023-04-19 14:13:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/subscriber -DCPSConfigFile sub.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9869 started at 2023-04-19 14:13:43
(9861|9861) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Deadline/run_test.pl Time:28s Result:0

==============================================================================

tests/DCPS/Deadline/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/publisher -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9890 started at 2023-04-19 14:14:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Deadline/subscriber -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9891 started at 2023-04-19 14:14:11
test PASSED.

auto_run_tests_finished: tests/DCPS/Deadline/run_test.pl rtps_disc Time:28s Result:0

==============================================================================

tests/DCPS/SetQosDeadline/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 9915
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/publisher -DCPSConfigFile pub.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9922 started at 2023-04-19 14:14:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/subscriber -DCPSConfigFile sub.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9923 started at 2023-04-19 14:14:40
(9915|9915) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl::on_requested_incompatible_qos
DataReaderListenerImpl[0xd6fce0]::on_subscription_matched tc=1 tcc=1 cc=1 ccc=1
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0xd6fce0]::on_subscription_matched tc=1 tcc=0 cc=0 ccc=-1
DataReaderListenerImpl::on_subscription_lost
DataReaderListenerImpl[0xd6fce0]::on_subscription_matched tc=2 tcc=1 cc=1 ccc=1
DataReaderListenerImpl[0xdb1cb0]::on_subscription_matched tc=1 tcc=1 cc=1 ccc=1
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 1
  total_count_change = 1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 2
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 3
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 1
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 4
  total_count_change = 1
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0xd6fce0]::on_subscription_matched tc=2 tcc=0 cc=0 ccc=-1
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0xdb1cb0]::on_subscription_matched tc=1 tcc=0 cc=0 ccc=-1
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosDeadline/run_test.pl Time:24s Result:0

==============================================================================

tests/DCPS/SetQosDeadline/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/publisher -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 9942 started at 2023-04-19 14:15:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosDeadline/subscriber -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 9943 started at 2023-04-19 14:15:03
DataReaderListenerImpl::on_requested_incompatible_qos
DataReaderListenerImpl[0xba5d80]::on_subscription_matched tc=1 tcc=1 cc=1 ccc=1
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0xba5d80]::on_subscription_matched tc=1 tcc=0 cc=0 ccc=-1
DataReaderListenerImpl[0xba5d80]::on_subscription_matched tc=2 tcc=1 cc=1 ccc=1
DataReaderListenerImpl[0xbbcbe0]::on_subscription_matched tc=1 tcc=1 cc=1 ccc=1
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 1
  total_count_change = 1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 2
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 3
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 1
  total_count_change = 1
DataReaderListenerImpl::on_requested_deadline_missed
  total_count        = 4
  total_count_change = 1
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0xba5d80]::on_subscription_matched tc=2 tcc=0 cc=0 ccc=-1
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl[0xbbcbe0]::on_subscription_matched tc=1 tcc=0 cc=0 ccc=-1
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosDeadline/run_test.pl rtps_disc Time:22s Result:0

==============================================================================

tests/DCPS/SetQosPartition/run_test.pl ini=inforepo_tcp.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 9959
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosPartition/pubsub -DCPSPendingTimeout 0 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pubsub.log -DCPSConfigFile inforepo_tcp.ini 
pubsub PID: 9966 started at 2023-04-19 14:15:26
(9959|9959) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
Pub waiting for match on partition A
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
Pub waiting for match on partition B
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 10
         text       = Worst. Movie. Ever.
Pub waiting for additional match on partition B
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosPartition/run_test.pl ini=inforepo_tcp.ini Time:1s Result:0

==============================================================================

tests/DCPS/SetQosPartition/run_test.pl ini=rtps_rtps.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosPartition/pubsub -DCPSPendingTimeout 0 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pubsub.log -DCPSConfigFile rtps_rtps.ini 
pubsub PID: 9981 started at 2023-04-19 14:15:26
Pub waiting for match on partition A
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
Pub waiting for match on partition B
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 10
         text       = Worst. Movie. Ever.
Pub waiting for additional match on partition B
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosPartition/run_test.pl ini=rtps_rtps.ini Time:5s Result:0

==============================================================================

tests/DCPS/SetQosPartition/run_test.pl ini=rtps_tcp.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SetQosPartition/pubsub -DCPSPendingTimeout 0 -DCPSDebugLevel 4 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pubsub.log -DCPSConfigFile rtps_tcp.ini 
pubsub PID: 9999 started at 2023-04-19 14:15:31
Pub waiting for match on partition A
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 1
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_NO_WRITERS_INSTANCE_STATE
instance is unregistered
Pub waiting for match on partition B
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 2
         count      = 10
         text       = Worst. Movie. Ever.
Pub waiting for additional match on partition B
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 1
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 2
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 3
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 4
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 5
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 6
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 7
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 8
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 9
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy 3
         count      = 10
         text       = Worst. Movie. Ever.
Subscriber = Subscriber_1
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
Subscriber = Subscriber_2
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
instance is disposed
test PASSED.

auto_run_tests_finished: tests/DCPS/SetQosPartition/run_test.pl ini=rtps_tcp.ini Time:2s Result:0

==============================================================================

tests/DCPS/StringKey/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 10013
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSPendingTimeout 3 
pub PID: 10020 started at 2023-04-19 14:15:33
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StringKey/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSPendingTimeout 3 
sub PID: 10021 started at 2023-04-19 14:15:33
(10013|10013) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
HANDLE CHANGED: previous handle = 0
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
SampleInfo.instance_handle = 13
Message: subject    = Review
         subject_id = OpenDDS
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/StringKey/run_test.pl Time:2s Result:0

==============================================================================

tests/DCPS/GuardCondition/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/GuardCondition/GuardConditionTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile GuardConditionTest.log -DCPSPendingTimeout 3 
GuardConditionTest PID: 10038 started at 2023-04-19 14:15:35
test PASSED.

auto_run_tests_finished: tests/DCPS/GuardCondition/run_test.pl Time:9s Result:0

==============================================================================

tests/DCPS/ReadCondition/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -NOBITS -DCPSPendingTimeout 3 
InfoRepo PID: 10052
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ReadCondition/ReadConditionTest -DCPSConfigFile dcps.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile rc.log -DCPSBit 0  -DCPSPendingTimeout 3 
rc PID: 10059 started at 2023-04-19 14:15:44
wait returned
took sample 1
took sample 2
took sample 3
testing take_next_instance_w_condition
took sample 4
took sample 5
took sample 6
took sample 7
took sample 8
took sample 9
took sample 10
took sample 11
wait returned
an instance has been disposed, exiting
wait returned
took sample 1
took sample 2
took sample 3
testing take_instance_w_condition
wait returned
took sample 4
took sample 5
took sample 6
testing take_instance_w_condition
wait returned
took sample 7
took sample 8
took sample 9
testing take_instance_w_condition
wait returned
took sample 10
took sample 11
testing take_instance_w_condition
an instance has been disposed, exiting
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ReadCondition/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/RegisterInstance/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RegisterInstance/register_instance_test -DCPSConfigFile rtps_disc.ini -ORBLogFile output.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
register_instance_test PID: 10065 started at 2023-04-19 14:15:45
test PASSED.

auto_run_tests_finished: tests/DCPS/RegisterInstance/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/FileSystemStorage/run_test.pl #

encoded {} =>	{}
encoded {f} =>	{CO======}
encoded {fo} =>	{CPNG====}
encoded {foo} =>	{CPNMU===}
encoded {foob} =>	{CPNMUOG=}
encoded {fooba} =>	{CPNMUOJ1}
encoded {foobar} =>	{CPNMUOJ1E8======}
encoded {The[quIck]brOwn-fox?jumPes\oVer The/lazy dog!} =>	{AHK6AMRHEL4M6QQTC9P4UTRE5LJ6UU1VD9QMQK35EDE6ULJ5E8G58Q355TM62UJP41I6UPP1}
Testing file and directory operations...
...done

auto_run_tests_finished: tests/DCPS/FileSystemStorage/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/LatencyBudget/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 10074
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/publisher  -ORBLogFile test_run.data -DCPSPendingTimeout 3 
pub PID: 10081 started at 2023-04-19 14:15:45
(10074|10074) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/subscriber  -DCPSPendingTimeout 3 
sub PID: 10091 started at 2023-04-19 14:15:48
(10091|10091) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/LatencyBudget/run_test.pl Time:31s Result:0

==============================================================================

tests/DCPS/LatencyBudget/run_test.pl late #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 10100
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/publisher -o 10 -ORBLogFile test_run.data -DCPSPendingTimeout 3 
pub PID: 10107 started at 2023-04-19 14:16:16
(10100|10100) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/LatencyBudget/subscriber -l 10 -DCPSPendingTimeout 3 
sub PID: 10117 started at 2023-04-19 14:16:18
(10117|10117) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 1
       max = 10.0029
       min = 10.0029
      mean = 10.0029
  variance = 0
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 2
       max = 10.0036
       min = 10.0029
      mean = 10.0033
  variance = 1.11222e-07
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 3
       max = 10.0114
       min = 10.0029
      mean = 10.006
  variance = 1.4692e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 4
       max = 10.0117
       min = 10.0029
      mean = 10.0074
  variance = 1.71794e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 5
       max = 10.012
       min = 10.0029
      mean = 10.0083
  variance = 1.70866e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 6
       max = 10.0123
       min = 10.0029
      mean = 10.009
  variance = 1.64199e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 7
       max = 10.0126
       min = 10.0029
      mean = 10.0095
  variance = 1.57202e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 8
       max = 10.013
       min = 10.0029
      mean = 10.0099
  variance = 1.51093e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 9
       max = 10.0133
       min = 10.0029
      mean = 10.0103
  variance = 1.45734e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_budget_exceeded
stats[0]:
         n = 10
       max = 10.0137
       min = 10.0029
      mean = 10.0106
  variance = 1.41271e-05
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 10
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/LatencyBudget/run_test.pl late Time:30s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl single #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 10136
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1 -n 1 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 10143 started at 2023-04-19 14:16:47
(10143|10143) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(10143|10143) -> Thrasher started
(10143|10143) -> Subscriber::Subscriber
(10136|10136) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(10143|10143) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(10143|10143)    Subscriber reader id: 01030000.270dd60f.00000002.00000507(e0f6cd94)
(10143|10143) -> PublisherService::PublisherService
(10143|10143) -> PublisherService::start (1 threads)
(10143|10143) sub wait_received 0:1
(10143|10152) pub0  writer id: 01030000.270dd60f.00000003.00000102(c990d5af)
(10143|10152) pub0->started
(10143|10152) pub0->wait_match() before write for 01030000.270dd60f.00000003.00000102(c990d5af)
(10143|10152) pub0<-match found! before write for 01030000.270dd60f.00000003.00000102(c990d5af)
(10143|10151)  sub 100% (1 samples received)
(10143|10151) sub condition_.notify_all
(10143|10143) sub condition_.wait returned
(10143|10143) sub check_received
(10143|10143) sub check_received returns 0
(10143|10143) <- PublisherService::end
(10143|10152) pub0  100% (1 samples sent)
(10143|10152) pub0  waiting for acks
(10143|10152) pub0  waiting for acks returned
(10143|10152) pub0<-delete_contained_entities
(10143|10152) pub0<-delete_participant
(10143|10143) <- PublisherService::~PublisherService
(10143|10143) <- Subscriber delete_contained_entities
(10143|10143) <- Subscriber delete_participant
(10143|10143) <- Subscriber::~Subscriber
(10143|10143) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl single Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl double #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 10154
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 2 -s 1 -n 2 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 10161 started at 2023-04-19 14:16:47
(10161|10161) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(10161|10161) -> Thrasher started
(10161|10161) -> Subscriber::Subscriber
(10154|10154) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(10161|10161) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(10161|10161)    Subscriber reader id: 01030000.6ba7654a.00000002.00000507(3789a35b)
(10161|10161) -> PublisherService::PublisherService
(10161|10161) -> PublisherService::start (2 threads)
(10161|10161) sub wait_received 0:2
(10161|10170) pub0  writer id: 01030000.6ba7654a.00000004.00000102(accf6770)
(10161|10170) pub0->started
(10161|10170) pub0->wait_match() before write for 01030000.6ba7654a.00000004.00000102(accf6770)
(10161|10170) pub0<-match found! before write for 01030000.6ba7654a.00000004.00000102(accf6770)
(10161|10169)  sub 50% (1 samples received)
(10161|10170) pub0  100% (1 samples sent)
(10161|10170) pub0  waiting for acks
(10161|10170) pub0  waiting for acks returned
(10161|10170) pub0<-delete_contained_entities
(10161|10171) pub1  writer id: 01030000.6ba7654a.00000003.00000102(1eefbb60)
(10161|10171) pub1->started
(10161|10171) pub1->wait_match() before write for 01030000.6ba7654a.00000003.00000102(1eefbb60)
(10161|10171) pub1<-match found! before write for 01030000.6ba7654a.00000003.00000102(1eefbb60)
(10161|10171) pub1  100% (1 samples sent)
(10161|10171) pub1  waiting for acks
(10161|10169)  sub 100% (2 samples received)
(10161|10169) sub condition_.notify_all
(10161|10161) sub condition_.wait returned
(10161|10161) sub check_received
(10161|10161) sub check_received returns 0
(10161|10161) <- PublisherService::end
(10161|10171) pub1  waiting for acks returned
(10161|10171) pub1<-delete_contained_entities
(10161|10170) pub0<-delete_participant
(10161|10171) pub1<-delete_participant
(10161|10161) <- PublisherService::~PublisherService
(10161|10161) <- Subscriber delete_contained_entities
(10161|10161) <- Subscriber delete_participant
(10161|10161) <- Subscriber::~Subscriber
(10161|10161) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl double Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl triangle #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 10173
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 3 -s 3 -n 9 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 10180 started at 2023-04-19 14:16:48
(10180|10180) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(10180|10180) -> Thrasher started
(10180|10180) -> Subscriber::Subscriber
(10173|10173) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(10180|10180) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(10180|10180)    Subscriber reader id: 01030000.41971d3a.00000002.00000507(f8d8dd67)
(10180|10180) -> PublisherService::PublisherService
(10180|10180) -> PublisherService::start (3 threads)
(10180|10180) sub wait_received 0:3
(10180|10189) pub0  writer id: 01030000.41971d3a.00000003.00000102(d1bec55c)
(10180|10189) pub0->started
(10180|10189) pub0->wait_match() before write for 01030000.41971d3a.00000003.00000102(d1bec55c)
(10180|10189) pub0<-match found! before write for 01030000.41971d3a.00000003.00000102(d1bec55c)
(10180|10189) pub0  33% (1 samples sent)
(10180|10189) pub0  66% (2 samples sent)
(10180|10189) pub0  100% (3 samples sent)
(10180|10189) pub0  waiting for acks
(10180|10188)  sub 11% (1 samples received)
(10180|10188)  sub 22% (2 samples received)
(10180|10188)  sub 33% (3 samples received)
(10180|10189) pub0  waiting for acks returned
(10180|10189) pub0<-delete_contained_entities
(10180|10190) pub2  writer id: 01030000.41971d3a.00000005.00000102(5efe30fc)
(10180|10190) pub2->started
(10180|10190) pub2->wait_match() before write for 01030000.41971d3a.00000005.00000102(5efe30fc)
(10180|10190) pub2<-match found! before write for 01030000.41971d3a.00000005.00000102(5efe30fc)
(10180|10190) pub2  33% (1 samples sent)
(10180|10190) pub2  66% (2 samples sent)
(10180|10188)  sub 44% (4 samples received)
(10180|10190) pub2  100% (3 samples sent)
(10180|10190) pub2  waiting for acks
(10180|10188)  sub 55% (5 samples received)
(10180|10188)  sub 66% (6 samples received)
(10180|10190) pub2  waiting for acks returned
(10180|10190) pub2<-delete_contained_entities
(10180|10191) pub1  writer id: 01030000.41971d3a.00000004.00000102(639e194c)
(10180|10191) pub1->started
(10180|10191) pub1->wait_match() before write for 01030000.41971d3a.00000004.00000102(639e194c)
(10180|10191) pub1<-match found! before write for 01030000.41971d3a.00000004.00000102(639e194c)
(10180|10191) pub1  33% (1 samples sent)
(10180|10191) pub1  66% (2 samples sent)
(10180|10188)  sub 77% (7 samples received)
(10180|10188) sub condition_.notify_all
(10180|10191) pub1  100% (3 samples sent)
(10180|10180) sub condition_.wait returned
(10180|10191) pub1  waiting for acks
(10180|10180) sub check_received
(10180|10180) sub check_received returns 0
(10180|10180) <- PublisherService::end
(10180|10188)  sub 88% (8 samples received)
(10180|10188) sub condition_.notify_all
(10180|10188)  sub 100% (9 samples received)
(10180|10188) sub condition_.notify_all
(10180|10191) pub1  waiting for acks returned
(10180|10191) pub1<-delete_contained_entities
(10180|10189) pub0<-delete_participant
(10180|10190) pub2<-delete_participant
(10180|10191) pub1<-delete_participant
(10180|10180) <- PublisherService::~PublisherService
(10180|10180) <- Subscriber delete_contained_entities
(10180|10180) <- Subscriber delete_participant
(10180|10180) <- Subscriber::~Subscriber
(10180|10180) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl triangle Time:0s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl default #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 10193
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1024 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 10200 started at 2023-04-19 14:16:49
(10200|10200) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(10200|10200) -> Thrasher started
(10200|10200) -> Subscriber::Subscriber
(10193|10193) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(10200|10200) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(10200|10200)    Subscriber reader id: 01030000.27a48df7.00000002.00000507(061c5564)
(10200|10200) -> PublisherService::PublisherService
(10200|10200) -> PublisherService::start (1 threads)
(10200|10200) sub wait_received 0:1
(10200|10209) pub0  writer id: 01030000.27a48df7.00000003.00000102(2f7a4d5f)
(10200|10209) pub0->started
(10200|10209) pub0->wait_match() before write for 01030000.27a48df7.00000003.00000102(2f7a4d5f)
(10200|10209) pub0<-match found! before write for 01030000.27a48df7.00000003.00000102(2f7a4d5f)
(10200|10208) sub condition_.notify_all
(10200|10200) sub condition_.wait returned
(10200|10200) sub check_received
(10200|10200) sub check_received returns 0
(10200|10200) <- PublisherService::end
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10209) pub0  10% (103 samples sent)
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10209) pub0  20% (205 samples sent)
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208)  sub 10% (103 samples received)
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10209) pub0  30% (308 samples sent)
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10209) pub0  40% (410 samples sent)
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208)  sub 20% (205 samples received)
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10209) pub0  50% (512 samples sent)
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10209) pub0  60% (615 samples sent)
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10209) pub0  70% (717 samples sent)
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208)  sub 30% (308 samples received)
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10209) pub0  80% (820 samples sent)
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10209) pub0  90% (922 samples sent)
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208)  sub 40% (410 samples received)
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10209) pub0  100% (1024 samples sent)
(10200|10209) pub0  waiting for acks
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208)  sub 50% (512 samples received)
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208)  sub 60% (615 samples received)
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208)  sub 70% (717 samples received)
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208)  sub 80% (820 samples received)
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208)  sub 90% (922 samples received)
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208) sub condition_.notify_all
(10200|10208)  sub 100% (1024 samples received)
(10200|10208) sub condition_.notify_all
(10200|10209) pub0  waiting for acks returned
(10200|10209) pub0<-delete_contained_entities
(10200|10209) pub0<-delete_participant
(10200|10200) <- PublisherService::~PublisherService
(10200|10200) <- Subscriber delete_contained_entities
(10200|10200) <- Subscriber delete_participant
(10200|10200) <- Subscriber::~Subscriber
(10200|10200) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl default Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl low #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 10211
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 8 -s 128 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 10218 started at 2023-04-19 14:16:50
(10218|10218) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(10218|10218) -> Thrasher started
(10218|10218) -> Subscriber::Subscriber
(10211|10211) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(10218|10218) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(10218|10218)    Subscriber reader id: 01030000.2d2486e4.00000002.00000507(f6670dd9)
(10218|10218) -> PublisherService::PublisherService
(10218|10218) -> PublisherService::start (8 threads)
(10218|10218) sub wait_received 0:8
(10218|10230) pub2  writer id: 01030000.2d2486e4.00000003.00000102(df0115e2)
(10218|10230) pub2->started
(10218|10230) pub2->wait_match() before write for 01030000.2d2486e4.00000003.00000102(df0115e2)
(10218|10230) pub2<-match found! before write for 01030000.2d2486e4.00000003.00000102(df0115e2)
(10218|10230) pub2  10% (13 samples sent)
(10218|10230) pub2  20% (26 samples sent)
(10218|10230) pub2  30% (39 samples sent)
(10218|10230) pub2  40% (52 samples sent)
(10218|10230) pub2  50% (64 samples sent)
(10218|10230) pub2  60% (77 samples sent)
(10218|10230) pub2  70% (90 samples sent)
(10218|10230) pub2  80% (103 samples sent)
(10218|10230) pub2  90% (116 samples sent)
(10218|10230) pub2  100% (128 samples sent)
(10218|10230) pub2  waiting for acks
(10218|10226)  sub 10% (103 samples received)
(10218|10230) pub2  waiting for acks returned
(10218|10230) pub2<-delete_contained_entities
(10218|10229) pub1  writer id: 01030000.2d2486e4.00000005.00000102(5041e042)
(10218|10229) pub1->started
(10218|10229) pub1->wait_match() before write for 01030000.2d2486e4.00000005.00000102(5041e042)
(10218|10229) pub1<-match found! before write for 01030000.2d2486e4.00000005.00000102(5041e042)
(10218|10229) pub1  10% (13 samples sent)
(10218|10229) pub1  20% (26 samples sent)
(10218|10229) pub1  30% (39 samples sent)
(10218|10229) pub1  40% (52 samples sent)
(10218|10227) pub3  writer id: 01030000.2d2486e4.00000004.00000102(6d21c9f2)
(10218|10227) pub3->started
(10218|10227) pub3->wait_match() before write for 01030000.2d2486e4.00000004.00000102(6d21c9f2)
(10218|10227) pub3<-match found! before write for 01030000.2d2486e4.00000004.00000102(6d21c9f2)
(10218|10227) pub3  10% (13 samples sent)
(10218|10227) pub3  20% (26 samples sent)
(10218|10226)  sub 20% (205 samples received)
(10218|10227) pub3  30% (39 samples sent)
(10218|10229) pub1  50% (64 samples sent)
(10218|10227) pub3  40% (52 samples sent)
(10218|10229) pub1  60% (77 samples sent)
(10218|10227) pub3  50% (64 samples sent)
(10218|10227) pub3  60% (77 samples sent)
(10218|10229) pub1  70% (90 samples sent)
(10218|10229) pub1  80% (103 samples sent)
(10218|10227) pub3  70% (90 samples sent)
(10218|10228) pub0  writer id: 01030000.2d2486e4.00000006.00000102(17e19a92)
(10218|10228) pub0->started
(10218|10228) pub0->wait_match() before write for 01030000.2d2486e4.00000006.00000102(17e19a92)
(10218|10227) pub3  80% (103 samples sent)
(10218|10232) pub4  writer id: 01030000.2d2486e4.00000007.00000102(2a81b322)
(10218|10232) pub4->started
(10218|10232) pub4->wait_match() before write for 01030000.2d2486e4.00000007.00000102(2a81b322)
(10218|10229) pub1  90% (116 samples sent)
(10218|10229) pub1  100% (128 samples sent)
(10218|10229) pub1  waiting for acks
(10218|10227) pub3  90% (116 samples sent)
(10218|10233) pub6  writer id: 01030000.2d2486e4.00000009.00000102(95b10d43)
(10218|10233) pub6->started
(10218|10233) pub6->wait_match() before write for 01030000.2d2486e4.00000009.00000102(95b10d43)
(10218|10231) pub5  writer id: 01030000.2d2486e4.00000008.00000102(a8d124f3)
(10218|10231) pub5->started
(10218|10231) pub5->wait_match() before write for 01030000.2d2486e4.00000008.00000102(a8d124f3)
(10218|10227) pub3  100% (128 samples sent)
(10218|10227) pub3  waiting for acks
(10218|10226)  sub 30% (308 samples received)
(10218|10234) pub7  writer id: 01030000.2d2486e4.0000000a.00000102(d2117793)
(10218|10234) pub7->started
(10218|10234) pub7->wait_match() before write for 01030000.2d2486e4.0000000a.00000102(d2117793)
(10218|10228) pub0<-match found! before write for 01030000.2d2486e4.00000006.00000102(17e19a92)
(10218|10232) pub4<-match found! before write for 01030000.2d2486e4.00000007.00000102(2a81b322)
(10218|10228) pub0  10% (13 samples sent)
(10218|10228) pub0  20% (26 samples sent)
(10218|10230) pub2<-delete_participant
(10218|10228) pub0  30% (39 samples sent)
(10218|10228) pub0  40% (52 samples sent)
(10218|10228) pub0  50% (64 samples sent)
(10218|10232) pub4  10% (13 samples sent)
(10218|10232) pub4  20% (26 samples sent)
(10218|10232) pub4  30% (39 samples sent)
(10218|10232) pub4  40% (52 samples sent)
(10218|10232) pub4  50% (64 samples sent)
(10218|10233) pub6<-match found! before write for 01030000.2d2486e4.00000009.00000102(95b10d43)
(10218|10228) pub0  60% (77 samples sent)
(10218|10231) pub5<-match found! before write for 01030000.2d2486e4.00000008.00000102(a8d124f3)
(10218|10233) pub6  10% (13 samples sent)
(10218|10231) pub5  10% (13 samples sent)
(10218|10233) pub6  20% (26 samples sent)
(10218|10231) pub5  20% (26 samples sent)
(10218|10233) pub6  30% (39 samples sent)
(10218|10233) pub6  40% (52 samples sent)
(10218|10233) pub6  50% (64 samples sent)
(10218|10233) pub6  60% (77 samples sent)
(10218|10233) pub6  70% (90 samples sent)
(10218|10228) pub0  70% (90 samples sent)
(10218|10231) pub5  30% (39 samples sent)
(10218|10233) pub6  80% (103 samples sent)
(10218|10234) pub7<-match found! before write for 01030000.2d2486e4.0000000a.00000102(d2117793)
(10218|10234) pub7  10% (13 samples sent)
(10218|10234) pub7  20% (26 samples sent)
(10218|10234) pub7  30% (39 samples sent)
(10218|10234) pub7  40% (52 samples sent)
(10218|10234) pub7  50% (64 samples sent)
(10218|10233) pub6  90% (116 samples sent)
(10218|10231) pub5  40% (52 samples sent)
(10218|10234) pub7  60% (77 samples sent)
(10218|10232) pub4  60% (77 samples sent)
(10218|10234) pub7  70% (90 samples sent)
(10218|10232) pub4  70% (90 samples sent)
(10218|10232) pub4  80% (103 samples sent)
(10218|10232) pub4  90% (116 samples sent)
(10218|10233) pub6  100% (128 samples sent)
(10218|10233) pub6  waiting for acks
(10218|10231) pub5  50% (64 samples sent)
(10218|10228) pub0  80% (103 samples sent)
(10218|10228) pub0  90% (116 samples sent)
(10218|10231) pub5  60% (77 samples sent)
(10218|10234) pub7  80% (103 samples sent)
(10218|10231) pub5  70% (90 samples sent)
(10218|10234) pub7  90% (116 samples sent)
(10218|10232) pub4  100% (128 samples sent)
(10218|10232) pub4  waiting for acks
(10218|10231) pub5  80% (103 samples sent)
(10218|10231) pub5  90% (116 samples sent)
(10218|10234) pub7  100% (128 samples sent)
(10218|10234) pub7  waiting for acks
(10218|10228) pub0  100% (128 samples sent)
(10218|10228) pub0  waiting for acks
(10218|10231) pub5  100% (128 samples sent)
(10218|10231) pub5  waiting for acks
(10218|10226)  sub 40% (410 samples received)
(10218|10229) pub1  waiting for acks returned
(10218|10229) pub1<-delete_contained_entities
(10218|10227) pub3  waiting for acks returned
(10218|10227) pub3<-delete_contained_entities
(10218|10229) pub1<-delete_participant
(10218|10226)  sub 50% (512 samples received)
(10218|10227) pub3<-delete_participant
(10218|10226)  sub 60% (615 samples received)
(10218|10226) sub condition_.notify_all
(10218|10218) sub condition_.wait returned
(10218|10218) sub check_received
(10218|10218) sub check_received returns 0
(10218|10218) <- PublisherService::end
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226)  sub 70% (717 samples received)
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226)  sub 80% (820 samples received)
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226)  sub 90% (922 samples received)
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226) sub condition_.notify_all
(10218|10226)  sub 100% (1024 samples received)
(10218|10226) sub condition_.notify_all
(10218|10233) pub6  waiting for acks returned
(10218|10233) pub6<-delete_contained_entities
(10218|10234) pub7  waiting for acks returned
(10218|10234) pub7<-delete_contained_entities
(10218|10232) pub4  waiting for acks returned
(10218|10228) pub0  waiting for acks returned
(10218|10228) pub0<-delete_contained_entities
(10218|10232) pub4<-delete_contained_entities
(10218|10231) pub5  waiting for acks returned
(10218|10231) pub5<-delete_contained_entities
(10218|10233) pub6<-delete_participant
(10218|10234) pub7<-delete_participant
(10218|10228) pub0<-delete_participant
(10218|10231) pub5<-delete_participant
(10218|10232) pub4<-delete_participant
(10218|10218) <- PublisherService::~PublisherService
(10218|10218) <- Subscriber delete_contained_entities
(10218|10218) <- Subscriber delete_participant
(10218|10218) <- Subscriber::~Subscriber
(10218|10218) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl low Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl medium #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 10236
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 16 -s 64 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 10243 started at 2023-04-19 14:16:51
(10243|10243) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(10243|10243) -> Thrasher started
(10243|10243) -> Subscriber::Subscriber
(10236|10236) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(10243|10243) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(10243|10243)    Subscriber reader id: 01030000.5c68c88c.00000002.00000507(f2125fc5)
(10243|10243) -> PublisherService::PublisherService
(10243|10243) -> PublisherService::start (16 threads)
(10243|10243) sub wait_received 0:16
(10243|10252) pub0  writer id: 01030000.5c68c88c.00000003.00000102(db7447fe)
(10243|10252) pub0->started
(10243|10252) pub0->wait_match() before write for 01030000.5c68c88c.00000003.00000102(db7447fe)
(10243|10252) pub0<-match found! before write for 01030000.5c68c88c.00000003.00000102(db7447fe)
(10243|10252) pub0  10% (7 samples sent)
(10243|10252) pub0  20% (13 samples sent)
(10243|10252) pub0  31% (20 samples sent)
(10243|10252) pub0  40% (26 samples sent)
(10243|10252) pub0  50% (32 samples sent)
(10243|10252) pub0  60% (39 samples sent)
(10243|10252) pub0  70% (45 samples sent)
(10243|10252) pub0  81% (52 samples sent)
(10243|10252) pub0  90% (58 samples sent)
(10243|10252) pub0  100% (64 samples sent)
(10243|10252) pub0  waiting for acks
(10243|10252) pub0  waiting for acks returned
(10243|10252) pub0<-delete_contained_entities
(10243|10257) pub2  writer id: 01030000.5c68c88c.00000005.00000102(5434b25e)
(10243|10257) pub2->started
(10243|10257) pub2->wait_match() before write for 01030000.5c68c88c.00000005.00000102(5434b25e)
(10243|10257) pub2<-match found! before write for 01030000.5c68c88c.00000005.00000102(5434b25e)
(10243|10257) pub2  10% (7 samples sent)
(10243|10257) pub2  20% (13 samples sent)
(10243|10257) pub2  31% (20 samples sent)
(10243|10257) pub2  40% (26 samples sent)
(10243|10257) pub2  50% (32 samples sent)
(10243|10257) pub2  60% (39 samples sent)
(10243|10257) pub2  70% (45 samples sent)
(10243|10257) pub2  81% (52 samples sent)
(10243|10251)  sub 10% (103 samples received)
(10243|10257) pub2  90% (58 samples sent)
(10243|10257) pub2  100% (64 samples sent)
(10243|10257) pub2  waiting for acks
(10243|10253) pub1  writer id: 01030000.5c68c88c.00000004.00000102(69549bee)
(10243|10253) pub1->started
(10243|10253) pub1->wait_match() before write for 01030000.5c68c88c.00000004.00000102(69549bee)
(10243|10255) pub5  writer id: 01030000.5c68c88c.00000006.00000102(1394c88e)
(10243|10255) pub5->started
(10243|10255) pub5->wait_match() before write for 01030000.5c68c88c.00000006.00000102(1394c88e)
(10243|10253) pub1<-match found! before write for 01030000.5c68c88c.00000004.00000102(69549bee)
(10243|10253) pub1  10% (7 samples sent)
(10243|10253) pub1  20% (13 samples sent)
(10243|10260) pub4  writer id: 01030000.5c68c88c.00000009.00000102(91c45f5f)
(10243|10260) pub4->started
(10243|10260) pub4->wait_match() before write for 01030000.5c68c88c.00000009.00000102(91c45f5f)
(10243|10253) pub1  31% (20 samples sent)
(10243|10253) pub1  40% (26 samples sent)
(10243|10253) pub1  50% (32 samples sent)
(10243|10253) pub1  60% (39 samples sent)
(10243|10253) pub1  70% (45 samples sent)
(10243|10253) pub1  81% (52 samples sent)
(10243|10256) pub8  writer id: 01030000.5c68c88c.0000000c.00000102(5924d02f)
(10243|10256) pub8->started
(10243|10256) pub8->wait_match() before write for 01030000.5c68c88c.0000000c.00000102(5924d02f)
(10243|10257) pub2  waiting for acks returned
(10243|10257) pub2<-delete_contained_entities
(10243|10255) pub5<-match found! before write for 01030000.5c68c88c.00000006.00000102(1394c88e)
(10243|10255) pub5  10% (7 samples sent)
(10243|10255) pub5  20% (13 samples sent)
(10243|10255) pub5  31% (20 samples sent)
(10243|10260) pub4<-match found! before write for 01030000.5c68c88c.00000009.00000102(91c45f5f)
(10243|10253) pub1  90% (58 samples sent)
(10243|10260) pub4  10% (7 samples sent)
(10243|10260) pub4  20% (13 samples sent)
(10243|10260) pub4  31% (20 samples sent)
(10243|10260) pub4  40% (26 samples sent)
(10243|10260) pub4  50% (32 samples sent)
(10243|10260) pub4  60% (39 samples sent)
(10243|10260) pub4  70% (45 samples sent)
(10243|10253) pub1  100% (64 samples sent)
(10243|10253) pub1  waiting for acks
(10243|10255) pub5  40% (26 samples sent)
(10243|10255) pub5  50% (32 samples sent)
(10243|10255) pub5  60% (39 samples sent)
(10243|10255) pub5  70% (45 samples sent)
(10243|10255) pub5  81% (52 samples sent)
(10243|10255) pub5  90% (58 samples sent)
(10243|10255) pub5  100% (64 samples sent)
(10243|10255) pub5  waiting for acks
(10243|10260) pub4  81% (52 samples sent)
(10243|10260) pub4  90% (58 samples sent)
(10243|10260) pub4  100% (64 samples sent)
(10243|10260) pub4  waiting for acks
(10243|10256) pub8<-match found! before write for 01030000.5c68c88c.0000000c.00000102(5924d02f)
(10243|10256) pub8  10% (7 samples sent)
(10243|10256) pub8  20% (13 samples sent)
(10243|10256) pub8  31% (20 samples sent)
(10243|10256) pub8  40% (26 samples sent)
(10243|10256) pub8  50% (32 samples sent)
(10243|10256) pub8  60% (39 samples sent)
(10243|10256) pub8  70% (45 samples sent)
(10243|10256) pub8  81% (52 samples sent)
(10243|10256) pub8  90% (58 samples sent)
(10243|10256) pub8  100% (64 samples sent)
(10243|10256) pub8  waiting for acks
(10243|10261) pub11  writer id: 01030000.5c68c88c.0000000a.00000102(d664258f)
(10243|10261) pub11->started
(10243|10261) pub11->wait_match() before write for 01030000.5c68c88c.0000000a.00000102(d664258f)
(10243|10251)  sub 20% (205 samples received)
(10243|10259) pub3  writer id: 01030000.5c68c88c.00000007.00000102(2ef4e13e)
(10243|10259) pub3->started
(10243|10259) pub3->wait_match() before write for 01030000.5c68c88c.00000007.00000102(2ef4e13e)
(10243|10262) pub9  writer id: 01030000.5c68c88c.0000000e.00000102(23e4834f)
(10243|10262) pub9->started
(10243|10262) pub9->wait_match() before write for 01030000.5c68c88c.0000000e.00000102(23e4834f)
(10243|10264) pub13  writer id: 01030000.5c68c88c.0000000b.00000102(eb040c3f)
(10243|10264) pub13->started
(10243|10264) pub13->wait_match() before write for 01030000.5c68c88c.0000000b.00000102(eb040c3f)
(10243|10254) pub6  writer id: 01030000.5c68c88c.00000008.00000102(aca476ef)
(10243|10254) pub6->started
(10243|10254) pub6->wait_match() before write for 01030000.5c68c88c.00000008.00000102(aca476ef)
(10243|10265) pub12  writer id: 01030000.5c68c88c.00000010.00000102(fc34aaac)
(10243|10265) pub12->started
(10243|10265) pub12->wait_match() before write for 01030000.5c68c88c.00000010.00000102(fc34aaac)
(10243|10258) pub7  writer id: 01030000.5c68c88c.0000000d.00000102(6444f99f)
(10243|10258) pub7->started
(10243|10258) pub7->wait_match() before write for 01030000.5c68c88c.0000000d.00000102(6444f99f)
(10243|10266) pub14  writer id: 01030000.5c68c88c.00000012.00000102(86f4f9cc)
(10243|10266) pub14->started
(10243|10266) pub14->wait_match() before write for 01030000.5c68c88c.00000012.00000102(86f4f9cc)
(10243|10261) pub11<-match found! before write for 01030000.5c68c88c.0000000a.00000102(d664258f)
(10243|10259) pub3<-match found! before write for 01030000.5c68c88c.00000007.00000102(2ef4e13e)
(10243|10262) pub9<-match found! before write for 01030000.5c68c88c.0000000e.00000102(23e4834f)
(10243|10264) pub13<-match found! before write for 01030000.5c68c88c.0000000b.00000102(eb040c3f)
(10243|10254) pub6<-match found! before write for 01030000.5c68c88c.00000008.00000102(aca476ef)
(10243|10254) pub6  10% (7 samples sent)
(10243|10254) pub6  20% (13 samples sent)
(10243|10262) pub9  10% (7 samples sent)
(10243|10262) pub9  20% (13 samples sent)
(10243|10254) pub6  31% (20 samples sent)
(10243|10262) pub9  31% (20 samples sent)
(10243|10259) pub3  10% (7 samples sent)
(10243|10262) pub9  40% (26 samples sent)
(10243|10264) pub13  10% (7 samples sent)
(10243|10259) pub3  20% (13 samples sent)
(10243|10262) pub9  50% (32 samples sent)
(10243|10261) pub11  10% (7 samples sent)
(10243|10258) pub7<-match found! before write for 01030000.5c68c88c.0000000d.00000102(6444f99f)
(10243|10265) pub12<-match found! before write for 01030000.5c68c88c.00000010.00000102(fc34aaac)
(10243|10265) pub12  10% (7 samples sent)
(10243|10265) pub12  20% (13 samples sent)
(10243|10265) pub12  31% (20 samples sent)
(10243|10265) pub12  40% (26 samples sent)
(10243|10265) pub12  50% (32 samples sent)
(10243|10265) pub12  60% (39 samples sent)
(10243|10265) pub12  70% (45 samples sent)
(10243|10259) pub3  31% (20 samples sent)
(10243|10261) pub11  20% (13 samples sent)
(10243|10259) pub3  40% (26 samples sent)
(10243|10259) pub3  50% (32 samples sent)
(10243|10259) pub3  60% (39 samples sent)
(10243|10259) pub3  70% (45 samples sent)
(10243|10259) pub3  81% (52 samples sent)
(10243|10254) pub6  40% (26 samples sent)
(10243|10259) pub3  90% (58 samples sent)
(10243|10264) pub13  20% (13 samples sent)
(10243|10254) pub6  50% (32 samples sent)
(10243|10264) pub13  31% (20 samples sent)
(10243|10254) pub6  60% (39 samples sent)
(10243|10264) pub13  40% (26 samples sent)
(10243|10264) pub13  50% (32 samples sent)
(10243|10264) pub13  60% (39 samples sent)
(10243|10264) pub13  70% (45 samples sent)
(10243|10264) pub13  81% (52 samples sent)
(10243|10264) pub13  90% (58 samples sent)
(10243|10258) pub7  10% (7 samples sent)
(10243|10264) pub13  100% (64 samples sent)
(10243|10264) pub13  waiting for acks
(10243|10262) pub9  60% (39 samples sent)
(10243|10259) pub3  100% (64 samples sent)
(10243|10259) pub3  waiting for acks
(10243|10265) pub12  81% (52 samples sent)
(10243|10265) pub12  90% (58 samples sent)
(10243|10265) pub12  100% (64 samples sent)
(10243|10265) pub12  waiting for acks
(10243|10258) pub7  20% (13 samples sent)
(10243|10258) pub7  31% (20 samples sent)
(10243|10258) pub7  40% (26 samples sent)
(10243|10258) pub7  50% (32 samples sent)
(10243|10258) pub7  60% (39 samples sent)
(10243|10258) pub7  70% (45 samples sent)
(10243|10258) pub7  81% (52 samples sent)
(10243|10258) pub7  90% (58 samples sent)
(10243|10258) pub7  100% (64 samples sent)
(10243|10258) pub7  waiting for acks
(10243|10262) pub9  70% (45 samples sent)
(10243|10262) pub9  81% (52 samples sent)
(10243|10262) pub9  90% (58 samples sent)
(10243|10262) pub9  100% (64 samples sent)
(10243|10262) pub9  waiting for acks
(10243|10261) pub11  31% (20 samples sent)
(10243|10261) pub11  40% (26 samples sent)
(10243|10261) pub11  50% (32 samples sent)
(10243|10261) pub11  60% (39 samples sent)
(10243|10261) pub11  70% (45 samples sent)
(10243|10261) pub11  81% (52 samples sent)
(10243|10261) pub11  90% (58 samples sent)
(10243|10261) pub11  100% (64 samples sent)
(10243|10261) pub11  waiting for acks
(10243|10254) pub6  70% (45 samples sent)
(10243|10251)  sub 30% (308 samples received)
(10243|10254) pub6  81% (52 samples sent)
(10243|10254) pub6  90% (58 samples sent)
(10243|10254) pub6  100% (64 samples sent)
(10243|10254) pub6  waiting for acks
(10243|10263) pub10  writer id: 01030000.5c68c88c.0000000f.00000102(1e84aaff)
(10243|10263) pub10->started
(10243|10263) pub10->wait_match() before write for 01030000.5c68c88c.0000000f.00000102(1e84aaff)
(10243|10252) pub0<-delete_participant
(10243|10267) pub15  writer id: 01030000.5c68c88c.00000011.00000102(c154831c)
(10243|10267) pub15->started
(10243|10267) pub15->wait_match() before write for 01030000.5c68c88c.00000011.00000102(c154831c)
(10243|10251)  sub 40% (410 samples received)
(10243|10257) pub2<-delete_participant
(10243|10266) pub14<-match found! before write for 01030000.5c68c88c.00000012.00000102(86f4f9cc)
(10243|10266) pub14  10% (7 samples sent)
(10243|10266) pub14  20% (13 samples sent)
(10243|10266) pub14  31% (20 samples sent)
(10243|10266) pub14  40% (26 samples sent)
(10243|10266) pub14  50% (32 samples sent)
(10243|10266) pub14  60% (39 samples sent)
(10243|10266) pub14  70% (45 samples sent)
(10243|10266) pub14  81% (52 samples sent)
(10243|10253) pub1  waiting for acks returned
(10243|10253) pub1<-delete_contained_entities
(10243|10255) pub5  waiting for acks returned
(10243|10255) pub5<-delete_contained_entities
(10243|10260) pub4  waiting for acks returned
(10243|10260) pub4<-delete_contained_entities
(10243|10263) pub10<-match found! before write for 01030000.5c68c88c.0000000f.00000102(1e84aaff)
(10243|10263) pub10  10% (7 samples sent)
(10243|10263) pub10  20% (13 samples sent)
(10243|10263) pub10  31% (20 samples sent)
(10243|10263) pub10  40% (26 samples sent)
(10243|10263) pub10  50% (32 samples sent)
(10243|10263) pub10  60% (39 samples sent)
(10243|10263) pub10  70% (45 samples sent)
(10243|10263) pub10  81% (52 samples sent)
(10243|10263) pub10  90% (58 samples sent)
(10243|10263) pub10  100% (64 samples sent)
(10243|10263) pub10  waiting for acks
(10243|10256) pub8  waiting for acks returned
(10243|10256) pub8<-delete_contained_entities
(10243|10266) pub14  90% (58 samples sent)
(10243|10253) pub1<-delete_participant
(10243|10266) pub14  100% (64 samples sent)
(10243|10266) pub14  waiting for acks
(10243|10267) pub15<-match found! before write for 01030000.5c68c88c.00000011.00000102(c154831c)
(10243|10267) pub15  10% (7 samples sent)
(10243|10267) pub15  20% (13 samples sent)
(10243|10267) pub15  31% (20 samples sent)
(10243|10267) pub15  40% (26 samples sent)
(10243|10267) pub15  50% (32 samples sent)
(10243|10267) pub15  60% (39 samples sent)
(10243|10267) pub15  70% (45 samples sent)
(10243|10267) pub15  81% (52 samples sent)
(10243|10267) pub15  90% (58 samples sent)
(10243|10267) pub15  100% (64 samples sent)
(10243|10267) pub15  waiting for acks
(10243|10255) pub5<-delete_participant
(10243|10251)  sub 50% (512 samples received)
(10243|10260) pub4<-delete_participant
(10243|10251)  sub 60% (615 samples received)
(10243|10256) pub8<-delete_participant
(10243|10251)  sub 70% (717 samples received)
(10243|10251)  sub 80% (820 samples received)
(10243|10264) pub13  waiting for acks returned
(10243|10264) pub13<-delete_contained_entities
(10243|10259) pub3  waiting for acks returned
(10243|10259) pub3<-delete_contained_entities
(10243|10265) pub12  waiting for acks returned
(10243|10265) pub12<-delete_contained_entities
(10243|10258) pub7  waiting for acks returned
(10243|10258) pub7<-delete_contained_entities
(10243|10262) pub9  waiting for acks returned
(10243|10262) pub9<-delete_contained_entities
(10243|10261) pub11  waiting for acks returned
(10243|10261) pub11<-delete_contained_entities
(10243|10254) pub6  waiting for acks returned
(10243|10254) pub6<-delete_contained_entities
(10243|10264) pub13<-delete_participant
(10243|10251)  sub 90% (922 samples received)
(10243|10251) sub condition_.notify_all
(10243|10243) sub condition_.wait returned
(10243|10243) sub check_received
(10243|10243) sub check_received returns 0
(10243|10243) <- PublisherService::end
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10259) pub3<-delete_participant
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251) sub condition_.notify_all
(10243|10251)  sub 100% (1024 samples received)
(10243|10251) sub condition_.notify_all
(10243|10263) pub10  waiting for acks returned
(10243|10263) pub10<-delete_contained_entities
(10243|10266) pub14  waiting for acks returned
(10243|10266) pub14<-delete_contained_entities
(10243|10267) pub15  waiting for acks returned
(10243|10267) pub15<-delete_contained_entities
(10243|10265) pub12<-delete_participant
(10243|10258) pub7<-delete_participant
(10243|10262) pub9<-delete_participant
(10243|10261) pub11<-delete_participant
(10243|10254) pub6<-delete_participant
(10243|10263) pub10<-delete_participant
(10243|10266) pub14<-delete_participant
(10243|10267) pub15<-delete_participant
(10243|10243) <- PublisherService::~PublisherService
(10243|10243) <- Subscriber delete_contained_entities
(10243|10243) <- Subscriber delete_participant
(10243|10243) <- Subscriber::~Subscriber
(10243|10243) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl medium Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl high #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 10269
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 32 -s 32 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 10276 started at 2023-04-19 14:16:52
(10276|10276) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(10276|10276) -> Thrasher started
(10276|10276) -> Subscriber::Subscriber
(10269|10269) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(10276|10276) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(10276|10276)    Subscriber reader id: 01030000.36965870.00000002.00000507(5aab2fa1)
(10276|10276) -> PublisherService::PublisherService
(10276|10276) -> PublisherService::start (32 threads)
(10276|10276) sub wait_received 0:32
(10276|10287) pub2  writer id: 01030000.36965870.00000010.00000102(548ddac8)
(10276|10287) pub2->started
(10276|10287) pub2->wait_match() before write for 01030000.36965870.00000010.00000102(548ddac8)
(10276|10287) pub2<-match found! before write for 01030000.36965870.00000010.00000102(548ddac8)
(10276|10287) pub2  12% (4 samples sent)
(10276|10287) pub2  21% (7 samples sent)
(10276|10287) pub2  31% (10 samples sent)
(10276|10287) pub2  40% (13 samples sent)
(10276|10287) pub2  50% (16 samples sent)
(10276|10287) pub2  62% (20 samples sent)
(10276|10287) pub2  71% (23 samples sent)
(10276|10287) pub2  81% (26 samples sent)
(10276|10287) pub2  90% (29 samples sent)
(10276|10287) pub2  100% (32 samples sent)
(10276|10287) pub2  waiting for acks
(10276|10287) pub2  waiting for acks returned
(10276|10287) pub2<-delete_contained_entities
(10276|10299) pub6  writer id: 01030000.36965870.00000008.00000102(041d068b)
(10276|10299) pub6->started
(10276|10299) pub6->wait_match() before write for 01030000.36965870.00000008.00000102(041d068b)
(10276|10299) pub6<-match found! before write for 01030000.36965870.00000008.00000102(041d068b)
(10276|10299) pub6  12% (4 samples sent)
(10276|10299) pub6  21% (7 samples sent)
(10276|10299) pub6  31% (10 samples sent)
(10276|10299) pub6  40% (13 samples sent)
(10276|10299) pub6  50% (16 samples sent)
(10276|10299) pub6  62% (20 samples sent)
(10276|10299) pub6  71% (23 samples sent)
(10276|10299) pub6  81% (26 samples sent)
(10276|10299) pub6  90% (29 samples sent)
(10276|10299) pub6  100% (32 samples sent)
(10276|10299) pub6  waiting for acks
(10276|10299) pub6  waiting for acks returned
(10276|10299) pub6<-delete_contained_entities
(10276|10293) pub13  writer id: 01030000.36965870.0000000d.00000102(ccfd89fb)
(10276|10293) pub13->started
(10276|10293) pub13->wait_match() before write for 01030000.36965870.0000000d.00000102(ccfd89fb)
(10276|10295) pub12  writer id: 01030000.36965870.0000000c.00000102(f19da04b)
(10276|10295) pub12->started
(10276|10295) pub12->wait_match() before write for 01030000.36965870.0000000c.00000102(f19da04b)
(10276|10293) pub13<-match found! before write for 01030000.36965870.0000000d.00000102(ccfd89fb)
(10276|10293) pub13  12% (4 samples sent)
(10276|10293) pub13  21% (7 samples sent)
(10276|10293) pub13  31% (10 samples sent)
(10276|10293) pub13  40% (13 samples sent)
(10276|10293) pub13  50% (16 samples sent)
(10276|10293) pub13  62% (20 samples sent)
(10276|10293) pub13  71% (23 samples sent)
(10276|10293) pub13  81% (26 samples sent)
(10276|10293) pub13  90% (29 samples sent)
(10276|10293) pub13  100% (32 samples sent)
(10276|10293) pub13  waiting for acks
(10276|10295) pub12<-match found! before write for 01030000.36965870.0000000c.00000102(f19da04b)
(10276|10295) pub12  12% (4 samples sent)
(10276|10295) pub12  21% (7 samples sent)
(10276|10295) pub12  31% (10 samples sent)
(10276|10295) pub12  40% (13 samples sent)
(10276|10295) pub12  50% (16 samples sent)
(10276|10286) pub0  writer id: 01030000.36965870.00000004.00000102(c1edeb8a)
(10276|10286) pub0->started
(10276|10286) pub0->wait_match() before write for 01030000.36965870.00000004.00000102(c1edeb8a)
(10276|10295) pub12  62% (20 samples sent)
(10276|10295) pub12  71% (23 samples sent)
(10276|10295) pub12  81% (26 samples sent)
(10276|10295) pub12  90% (29 samples sent)
(10276|10295) pub12  100% (32 samples sent)
(10276|10295) pub12  waiting for acks
(10276|10302) pub15  writer id: 01030000.36965870.0000000e.00000102(8b5df32b)
(10276|10302) pub15->started
(10276|10302) pub15->wait_match() before write for 01030000.36965870.0000000e.00000102(8b5df32b)
(10276|10286) pub0<-match found! before write for 01030000.36965870.00000004.00000102(c1edeb8a)
(10276|10286) pub0  12% (4 samples sent)
(10276|10286) pub0  21% (7 samples sent)
(10276|10286) pub0  31% (10 samples sent)
(10276|10286) pub0  40% (13 samples sent)
(10276|10286) pub0  50% (16 samples sent)
(10276|10286) pub0  62% (20 samples sent)
(10276|10286) pub0  71% (23 samples sent)
(10276|10286) pub0  81% (26 samples sent)
(10276|10302) pub15<-match found! before write for 01030000.36965870.0000000e.00000102(8b5df32b)
(10276|10302) pub15  12% (4 samples sent)
(10276|10302) pub15  21% (7 samples sent)
(10276|10293) pub13  waiting for acks returned
(10276|10293) pub13<-delete_contained_entities
(10276|10302) pub15  31% (10 samples sent)
(10276|10302) pub15  40% (13 samples sent)
(10276|10302) pub15  50% (16 samples sent)
(10276|10302) pub15  62% (20 samples sent)
(10276|10284)  sub 10% (103 samples received)
(10276|10302) pub15  71% (23 samples sent)
(10276|10302) pub15  81% (26 samples sent)
(10276|10302) pub15  90% (29 samples sent)
(10276|10302) pub15  100% (32 samples sent)
(10276|10302) pub15  waiting for acks
(10276|10286) pub0  90% (29 samples sent)
(10276|10286) pub0  100% (32 samples sent)
(10276|10286) pub0  waiting for acks
(10276|10291) pub19  writer id: 01030000.36965870.0000000f.00000102(b63dda9b)
(10276|10291) pub19->started
(10276|10291) pub19->wait_match() before write for 01030000.36965870.0000000f.00000102(b63dda9b)
(10276|10295) pub12  waiting for acks returned
(10276|10295) pub12<-delete_contained_entities
(10276|10291) pub19<-match found! before write for 01030000.36965870.0000000f.00000102(b63dda9b)
(10276|10291) pub19  12% (4 samples sent)
(10276|10291) pub19  21% (7 samples sent)
(10276|10291) pub19  31% (10 samples sent)
(10276|10291) pub19  40% (13 samples sent)
(10276|10291) pub19  50% (16 samples sent)
(10276|10291) pub19  62% (20 samples sent)
(10276|10291) pub19  71% (23 samples sent)
(10276|10291) pub19  81% (26 samples sent)
(10276|10291) pub19  90% (29 samples sent)
(10276|10291) pub19  100% (32 samples sent)
(10276|10291) pub19  waiting for acks
(10276|10302) pub15  waiting for acks returned
(10276|10302) pub15<-delete_contained_entities
(10276|10286) pub0  waiting for acks returned
(10276|10286) pub0<-delete_contained_entities
(10276|10284)  sub 20% (205 samples received)
(10276|10288) pub3  writer id: 01030000.36965870.00000006.00000102(bb2db8ea)
(10276|10288) pub3->started
(10276|10288) pub3->wait_match() before write for 01030000.36965870.00000006.00000102(bb2db8ea)
(10276|10288) pub3<-match found! before write for 01030000.36965870.00000006.00000102(bb2db8ea)
(10276|10288) pub3  12% (4 samples sent)
(10276|10288) pub3  21% (7 samples sent)
(10276|10294) pub10  writer id: 01030000.36965870.0000000a.00000102(7edd55eb)
(10276|10294) pub10->started
(10276|10294) pub10->wait_match() before write for 01030000.36965870.0000000a.00000102(7edd55eb)
(10276|10288) pub3  31% (10 samples sent)
(10276|10288) pub3  40% (13 samples sent)
(10276|10288) pub3  50% (16 samples sent)
(10276|10288) pub3  62% (20 samples sent)
(10276|10288) pub3  71% (23 samples sent)
(10276|10288) pub3  81% (26 samples sent)
(10276|10288) pub3  90% (29 samples sent)
(10276|10288) pub3  100% (32 samples sent)
(10276|10288) pub3  waiting for acks
(10276|10303) pub14  writer id: 01030000.36965870.00000016.00000102(dbcd2f68)
(10276|10303) pub14->started
(10276|10303) pub14->wait_match() before write for 01030000.36965870.00000016.00000102(dbcd2f68)
(10276|10291) pub19  waiting for acks returned
(10276|10291) pub19<-delete_contained_entities
(10276|10298) pub17  writer id: 01030000.36965870.00000014.00000102(a10d7c08)
(10276|10298) pub17->started
(10276|10298) pub17->wait_match() before write for 01030000.36965870.00000014.00000102(a10d7c08)
(10276|10294) pub10<-match found! before write for 01030000.36965870.0000000a.00000102(7edd55eb)
(10276|10298) pub17<-match found! before write for 01030000.36965870.00000014.00000102(a10d7c08)
(10276|10294) pub10  12% (4 samples sent)
(10276|10303) pub14<-match found! before write for 01030000.36965870.00000016.00000102(dbcd2f68)
(10276|10298) pub17  12% (4 samples sent)
(10276|10288) pub3  waiting for acks returned
(10276|10288) pub3<-delete_contained_entities
(10276|10298) pub17  21% (7 samples sent)
(10276|10303) pub14  12% (4 samples sent)
(10276|10298) pub17  31% (10 samples sent)
(10276|10303) pub14  21% (7 samples sent)
(10276|10303) pub14  31% (10 samples sent)
(10276|10303) pub14  40% (13 samples sent)
(10276|10303) pub14  50% (16 samples sent)
(10276|10303) pub14  62% (20 samples sent)
(10276|10303) pub14  71% (23 samples sent)
(10276|10303) pub14  81% (26 samples sent)
(10276|10303) pub14  90% (29 samples sent)
(10276|10303) pub14  100% (32 samples sent)
(10276|10303) pub14  waiting for acks
(10276|10294) pub10  21% (7 samples sent)
(10276|10298) pub17  40% (13 samples sent)
(10276|10294) pub10  31% (10 samples sent)
(10276|10298) pub17  50% (16 samples sent)
(10276|10294) pub10  40% (13 samples sent)
(10276|10298) pub17  62% (20 samples sent)
(10276|10294) pub10  50% (16 samples sent)
(10276|10298) pub17  71% (23 samples sent)
(10276|10294) pub10  62% (20 samples sent)
(10276|10298) pub17  81% (26 samples sent)
(10276|10294) pub10  71% (23 samples sent)
(10276|10298) pub17  90% (29 samples sent)
(10276|10294) pub10  81% (26 samples sent)
(10276|10298) pub17  100% (32 samples sent)
(10276|10298) pub17  waiting for acks
(10276|10294) pub10  90% (29 samples sent)
(10276|10294) pub10  100% (32 samples sent)
(10276|10294) pub10  waiting for acks
(10276|10296) pub7  writer id: 01030000.36965870.00000013.00000102(132da018)
(10276|10296) pub7->started
(10276|10296) pub7->wait_match() before write for 01030000.36965870.00000013.00000102(132da018)
(10276|10297) pub18  writer id: 01030000.36965870.00000018.00000102(64fd9109)
(10276|10297) pub18->started
(10276|10297) pub18->wait_match() before write for 01030000.36965870.00000018.00000102(64fd9109)
(10276|10296) pub7<-match found! before write for 01030000.36965870.00000013.00000102(132da018)
(10276|10296) pub7  12% (4 samples sent)
(10276|10296) pub7  21% (7 samples sent)
(10276|10296) pub7  31% (10 samples sent)
(10276|10296) pub7  40% (13 samples sent)
(10276|10296) pub7  50% (16 samples sent)
(10276|10296) pub7  62% (20 samples sent)
(10276|10296) pub7  71% (23 samples sent)
(10276|10296) pub7  81% (26 samples sent)
(10276|10296) pub7  90% (29 samples sent)
(10276|10297) pub18<-match found! before write for 01030000.36965870.00000018.00000102(64fd9109)
(10276|10297) pub18  12% (4 samples sent)
(10276|10297) pub18  21% (7 samples sent)
(10276|10297) pub18  31% (10 samples sent)
(10276|10297) pub18  40% (13 samples sent)
(10276|10297) pub18  50% (16 samples sent)
(10276|10297) pub18  62% (20 samples sent)
(10276|10297) pub18  71% (23 samples sent)
(10276|10297) pub18  81% (26 samples sent)
(10276|10297) pub18  90% (29 samples sent)
(10276|10297) pub18  100% (32 samples sent)
(10276|10297) pub18  waiting for acks
(10276|10296) pub7  100% (32 samples sent)
(10276|10296) pub7  waiting for acks
(10276|10284)  sub 30% (308 samples received)
(10276|10300) pub8  writer id: 01030000.36965870.00000009.00000102(397d2f3b)
(10276|10300) pub8->started
(10276|10300) pub8->wait_match() before write for 01030000.36965870.00000009.00000102(397d2f3b)
(10276|10301) pub9  writer id: 01030000.36965870.00000017.00000102(e6ad06d8)
(10276|10301) pub9->started
(10276|10301) pub9->wait_match() before write for 01030000.36965870.00000017.00000102(e6ad06d8)
(10276|10303) pub14  waiting for acks returned
(10276|10303) pub14<-delete_contained_entities
(10276|10300) pub8<-match found! before write for 01030000.36965870.00000009.00000102(397d2f3b)
(10276|10300) pub8  12% (4 samples sent)
(10276|10300) pub8  21% (7 samples sent)
(10276|10300) pub8  31% (10 samples sent)
(10276|10300) pub8  40% (13 samples sent)
(10276|10300) pub8  50% (16 samples sent)
(10276|10300) pub8  62% (20 samples sent)
(10276|10315) pub30  writer id: 01030000.36965870.00000021.00000102(c8cc4bfe)
(10276|10315) pub30->started
(10276|10315) pub30->wait_match() before write for 01030000.36965870.00000021.00000102(c8cc4bfe)
(10276|10300) pub8  71% (23 samples sent)
(10276|10300) pub8  81% (26 samples sent)
(10276|10300) pub8  90% (29 samples sent)
(10276|10300) pub8  100% (32 samples sent)
(10276|10300) pub8  waiting for acks
(10276|10301) pub9<-match found! before write for 01030000.36965870.00000017.00000102(e6ad06d8)
(10276|10298) pub17  waiting for acks returned
(10276|10298) pub17<-delete_contained_entities
(10276|10301) pub9  12% (4 samples sent)
(10276|10301) pub9  21% (7 samples sent)
(10276|10301) pub9  31% (10 samples sent)
(10276|10301) pub9  40% (13 samples sent)
(10276|10301) pub9  50% (16 samples sent)
(10276|10301) pub9  62% (20 samples sent)
(10276|10301) pub9  71% (23 samples sent)
(10276|10301) pub9  81% (26 samples sent)
(10276|10301) pub9  90% (29 samples sent)
(10276|10301) pub9  100% (32 samples sent)
(10276|10301) pub9  waiting for acks
(10276|10294) pub10  waiting for acks returned
(10276|10294) pub10<-delete_contained_entities
(10276|10314) pub29  writer id: 01030000.36965870.0000001e.00000102(ebbd64a9)
(10276|10314) pub29->started
(10276|10314) pub29->wait_match() before write for 01030000.36965870.0000001e.00000102(ebbd64a9)
(10276|10285) pub1  writer id: 01030000.36965870.00000003.00000102(73cd379a)
(10276|10285) pub1->started
(10276|10285) pub1->wait_match() before write for 01030000.36965870.00000003.00000102(73cd379a)
(10276|10310) pub28  writer id: 01030000.36965870.00000020.00000102(f5ac624e)
(10276|10310) pub28->started
(10276|10310) pub28->wait_match() before write for 01030000.36965870.00000020.00000102(f5ac624e)
(10276|10284)  sub 40% (410 samples received)
(10276|10313) pub26  writer id: 01030000.36965870.0000001b.00000102(235debd9)
(10276|10313) pub26->started
(10276|10313) pub26->wait_match() before write for 01030000.36965870.0000001b.00000102(235debd9)
(10276|10311) pub25  writer id: 01030000.36965870.0000001f.00000102(d6dd4d19)
(10276|10311) pub25->started
(10276|10311) pub25->wait_match() before write for 01030000.36965870.0000001f.00000102(d6dd4d19)
(10276|10309) pub24  writer id: 01030000.36965870.0000001d.00000102(ac1d1e79)
(10276|10309) pub24->started
(10276|10309) pub24->wait_match() before write for 01030000.36965870.0000001d.00000102(ac1d1e79)
(10276|10316) pub31  writer id: 01030000.36965870.00000022.00000102(8f6c312e)
(10276|10316) pub31->started
(10276|10316) pub31->wait_match() before write for 01030000.36965870.00000022.00000102(8f6c312e)
(10276|10315) pub30<-match found! before write for 01030000.36965870.00000021.00000102(c8cc4bfe)
(10276|10315) pub30  12% (4 samples sent)
(10276|10315) pub30  21% (7 samples sent)
(10276|10312) pub27  writer id: 01030000.36965870.0000001c.00000102(917d37c9)
(10276|10312) pub27->started
(10276|10312) pub27->wait_match() before write for 01030000.36965870.0000001c.00000102(917d37c9)
(10276|10315) pub30  31% (10 samples sent)
(10276|10315) pub30  40% (13 samples sent)
(10276|10315) pub30  50% (16 samples sent)
(10276|10315) pub30  62% (20 samples sent)
(10276|10315) pub30  71% (23 samples sent)
(10276|10315) pub30  81% (26 samples sent)
(10276|10315) pub30  90% (29 samples sent)
(10276|10315) pub30  100% (32 samples sent)
(10276|10315) pub30  waiting for acks
(10276|10314) pub29<-match found! before write for 01030000.36965870.0000001e.00000102(ebbd64a9)
(10276|10285) pub1<-match found! before write for 01030000.36965870.00000003.00000102(73cd379a)
(10276|10314) pub29  12% (4 samples sent)
(10276|10285) pub1  12% (4 samples sent)
(10276|10314) pub29  21% (7 samples sent)
(10276|10285) pub1  21% (7 samples sent)
(10276|10314) pub29  31% (10 samples sent)
(10276|10285) pub1  31% (10 samples sent)
(10276|10314) pub29  40% (13 samples sent)
(10276|10285) pub1  40% (13 samples sent)
(10276|10314) pub29  50% (16 samples sent)
(10276|10285) pub1  50% (16 samples sent)
(10276|10285) pub1  62% (20 samples sent)
(10276|10314) pub29  62% (20 samples sent)
(10276|10285) pub1  71% (23 samples sent)
(10276|10314) pub29  71% (23 samples sent)
(10276|10285) pub1  81% (26 samples sent)
(10276|10285) pub1  90% (29 samples sent)
(10276|10310) pub28<-match found! before write for 01030000.36965870.00000020.00000102(f5ac624e)
(10276|10296) pub7  waiting for acks returned
(10276|10296) pub7<-delete_contained_entities
(10276|10297) pub18  waiting for acks returned
(10276|10297) pub18<-delete_contained_entities
(10276|10285) pub1  100% (32 samples sent)
(10276|10285) pub1  waiting for acks
(10276|10314) pub29  81% (26 samples sent)
(10276|10313) pub26<-match found! before write for 01030000.36965870.0000001b.00000102(235debd9)
(10276|10310) pub28  12% (4 samples sent)
(10276|10310) pub28  21% (7 samples sent)
(10276|10313) pub26  12% (4 samples sent)
(10276|10310) pub28  31% (10 samples sent)
(10276|10313) pub26  21% (7 samples sent)
(10276|10314) pub29  90% (29 samples sent)
(10276|10313) pub26  31% (10 samples sent)
(10276|10314) pub29  100% (32 samples sent)
(10276|10314) pub29  waiting for acks
(10276|10313) pub26  40% (13 samples sent)
(10276|10290) pub5  writer id: 01030000.36965870.00000015.00000102(9c6d55b8)
(10276|10290) pub5->started
(10276|10290) pub5->wait_match() before write for 01030000.36965870.00000015.00000102(9c6d55b8)
(10276|10313) pub26  50% (16 samples sent)
(10276|10313) pub26  62% (20 samples sent)
(10276|10313) pub26  71% (23 samples sent)
(10276|10313) pub26  81% (26 samples sent)
(10276|10313) pub26  90% (29 samples sent)
(10276|10313) pub26  100% (32 samples sent)
(10276|10313) pub26  waiting for acks
(10276|10311) pub25<-match found! before write for 01030000.36965870.0000001f.00000102(d6dd4d19)
(10276|10311) pub25  12% (4 samples sent)
(10276|10311) pub25  21% (7 samples sent)
(10276|10311) pub25  31% (10 samples sent)
(10276|10311) pub25  40% (13 samples sent)
(10276|10311) pub25  50% (16 samples sent)
(10276|10309) pub24<-match found! before write for 01030000.36965870.0000001d.00000102(ac1d1e79)
(10276|10300) pub8  waiting for acks returned
(10276|10300) pub8<-delete_contained_entities
(10276|10310) pub28  40% (13 samples sent)
(10276|10309) pub24  12% (4 samples sent)
(10276|10311) pub25  62% (20 samples sent)
(10276|10310) pub28  50% (16 samples sent)
(10276|10311) pub25  71% (23 samples sent)
(10276|10310) pub28  62% (20 samples sent)
(10276|10309) pub24  21% (7 samples sent)
(10276|10309) pub24  31% (10 samples sent)
(10276|10309) pub24  40% (13 samples sent)
(10276|10309) pub24  50% (16 samples sent)
(10276|10309) pub24  62% (20 samples sent)
(10276|10309) pub24  71% (23 samples sent)
(10276|10309) pub24  81% (26 samples sent)
(10276|10309) pub24  90% (29 samples sent)
(10276|10309) pub24  100% (32 samples sent)
(10276|10309) pub24  waiting for acks
(10276|10311) pub25  81% (26 samples sent)
(10276|10311) pub25  90% (29 samples sent)
(10276|10311) pub25  100% (32 samples sent)
(10276|10311) pub25  waiting for acks
(10276|10310) pub28  71% (23 samples sent)
(10276|10310) pub28  81% (26 samples sent)
(10276|10310) pub28  90% (29 samples sent)
(10276|10310) pub28  100% (32 samples sent)
(10276|10310) pub28  waiting for acks
(10276|10284)  sub 50% (512 samples received)
(10276|10316) pub31<-match found! before write for 01030000.36965870.00000022.00000102(8f6c312e)
(10276|10316) pub31  12% (4 samples sent)
(10276|10316) pub31  21% (7 samples sent)
(10276|10316) pub31  31% (10 samples sent)
(10276|10316) pub31  40% (13 samples sent)
(10276|10316) pub31  50% (16 samples sent)
(10276|10316) pub31  62% (20 samples sent)
(10276|10312) pub27<-match found! before write for 01030000.36965870.0000001c.00000102(917d37c9)
(10276|10290) pub5<-match found! before write for 01030000.36965870.00000015.00000102(9c6d55b8)
(10276|10312) pub27  12% (4 samples sent)
(10276|10290) pub5  12% (4 samples sent)
(10276|10290) pub5  21% (7 samples sent)
(10276|10290) pub5  31% (10 samples sent)
(10276|10290) pub5  40% (13 samples sent)
(10276|10290) pub5  50% (16 samples sent)
(10276|10290) pub5  62% (20 samples sent)
(10276|10312) pub27  21% (7 samples sent)
(10276|10290) pub5  71% (23 samples sent)
(10276|10312) pub27  31% (10 samples sent)
(10276|10290) pub5  81% (26 samples sent)
(10276|10290) pub5  90% (29 samples sent)
(10276|10316) pub31  71% (23 samples sent)
(10276|10290) pub5  100% (32 samples sent)
(10276|10290) pub5  waiting for acks
(10276|10312) pub27  40% (13 samples sent)
(10276|10301) pub9  waiting for acks returned
(10276|10301) pub9<-delete_contained_entities
(10276|10315) pub30  waiting for acks returned
(10276|10315) pub30<-delete_contained_entities
(10276|10312) pub27  50% (16 samples sent)
(10276|10312) pub27  62% (20 samples sent)
(10276|10312) pub27  71% (23 samples sent)
(10276|10312) pub27  81% (26 samples sent)
(10276|10312) pub27  90% (29 samples sent)
(10276|10312) pub27  100% (32 samples sent)
(10276|10312) pub27  waiting for acks
(10276|10316) pub31  81% (26 samples sent)
(10276|10316) pub31  90% (29 samples sent)
(10276|10316) pub31  100% (32 samples sent)
(10276|10316) pub31  waiting for acks
(10276|10285) pub1  waiting for acks returned
(10276|10285) pub1<-delete_contained_entities
(10276|10284)  sub 60% (615 samples received)
(10276|10308) pub23  writer id: 01030000.36965870.00000012.00000102(2e4d89a8)
(10276|10308) pub23->started
(10276|10308) pub23->wait_match() before write for 01030000.36965870.00000012.00000102(2e4d89a8)
(10276|10305) pub20  writer id: 01030000.36965870.00000011.00000102(69edf378)
(10276|10305) pub20->started
(10276|10305) pub20->wait_match() before write for 01030000.36965870.00000011.00000102(69edf378)
(10276|10307) pub22  writer id: 01030000.36965870.00000019.00000102(599db8b9)
(10276|10307) pub22->started
(10276|10307) pub22->wait_match() before write for 01030000.36965870.00000019.00000102(599db8b9)
(10276|10289) pub4  writer id: 01030000.36965870.00000005.00000102(fc8dc23a)
(10276|10289) pub4->started
(10276|10289) pub4->wait_match() before write for 01030000.36965870.00000005.00000102(fc8dc23a)
(10276|10306) pub21  writer id: 01030000.36965870.0000001a.00000102(1e3dc269)
(10276|10306) pub21->started
(10276|10306) pub21->wait_match() before write for 01030000.36965870.0000001a.00000102(1e3dc269)
(10276|10284)  sub 70% (717 samples received)
(10276|10314) pub29  waiting for acks returned
(10276|10314) pub29<-delete_contained_entities
(10276|10308) pub23<-match found! before write for 01030000.36965870.00000012.00000102(2e4d89a8)
(10276|10308) pub23  12% (4 samples sent)
(10276|10308) pub23  21% (7 samples sent)
(10276|10308) pub23  31% (10 samples sent)
(10276|10308) pub23  40% (13 samples sent)
(10276|10308) pub23  50% (16 samples sent)
(10276|10308) pub23  62% (20 samples sent)
(10276|10308) pub23  71% (23 samples sent)
(10276|10308) pub23  81% (26 samples sent)
(10276|10308) pub23  90% (29 samples sent)
(10276|10308) pub23  100% (32 samples sent)
(10276|10308) pub23  waiting for acks
(10276|10313) pub26  waiting for acks returned
(10276|10313) pub26<-delete_contained_entities
(10276|10305) pub20<-match found! before write for 01030000.36965870.00000011.00000102(69edf378)
(10276|10289) pub4<-match found! before write for 01030000.36965870.00000005.00000102(fc8dc23a)
(10276|10305) pub20  12% (4 samples sent)
(10276|10307) pub22<-match found! before write for 01030000.36965870.00000019.00000102(599db8b9)
(10276|10289) pub4  12% (4 samples sent)
(10276|10289) pub4  21% (7 samples sent)
(10276|10307) pub22  12% (4 samples sent)
(10276|10289) pub4  31% (10 samples sent)
(10276|10307) pub22  21% (7 samples sent)
(10276|10289) pub4  40% (13 samples sent)
(10276|10307) pub22  31% (10 samples sent)
(10276|10289) pub4  50% (16 samples sent)
(10276|10307) pub22  40% (13 samples sent)
(10276|10289) pub4  62% (20 samples sent)
(10276|10289) pub4  71% (23 samples sent)
(10276|10305) pub20  21% (7 samples sent)
(10276|10289) pub4  81% (26 samples sent)
(10276|10305) pub20  31% (10 samples sent)
(10276|10289) pub4  90% (29 samples sent)
(10276|10305) pub20  40% (13 samples sent)
(10276|10305) pub20  50% (16 samples sent)
(10276|10289) pub4  100% (32 samples sent)
(10276|10289) pub4  waiting for acks
(10276|10306) pub21<-match found! before write for 01030000.36965870.0000001a.00000102(1e3dc269)
(10276|10306) pub21  12% (4 samples sent)
(10276|10306) pub21  21% (7 samples sent)
(10276|10306) pub21  31% (10 samples sent)
(10276|10307) pub22  50% (16 samples sent)
(10276|10306) pub21  40% (13 samples sent)
(10276|10306) pub21  50% (16 samples sent)
(10276|10307) pub22  62% (20 samples sent)
(10276|10307) pub22  71% (23 samples sent)
(10276|10306) pub21  62% (20 samples sent)
(10276|10306) pub21  71% (23 samples sent)
(10276|10305) pub20  62% (20 samples sent)
(10276|10306) pub21  81% (26 samples sent)
(10276|10305) pub20  71% (23 samples sent)
(10276|10309) pub24  waiting for acks returned
(10276|10309) pub24<-delete_contained_entities
(10276|10311) pub25  waiting for acks returned
(10276|10311) pub25<-delete_contained_entities
(10276|10310) pub28  waiting for acks returned
(10276|10310) pub28<-delete_contained_entities
(10276|10305) pub20  81% (26 samples sent)
(10276|10305) pub20  90% (29 samples sent)
(10276|10305) pub20  100% (32 samples sent)
(10276|10305) pub20  waiting for acks
(10276|10307) pub22  81% (26 samples sent)
(10276|10306) pub21  90% (29 samples sent)
(10276|10306) pub21  100% (32 samples sent)
(10276|10306) pub21  waiting for acks
(10276|10307) pub22  90% (29 samples sent)
(10276|10307) pub22  100% (32 samples sent)
(10276|10307) pub22  waiting for acks
(10276|10287) pub2<-delete_participant
(10276|10292) pub11  writer id: 01030000.36965870.0000000b.00000102(43bd7c5b)
(10276|10292) pub11->started
(10276|10292) pub11->wait_match() before write for 01030000.36965870.0000000b.00000102(43bd7c5b)
(10276|10290) pub5  waiting for acks returned
(10276|10290) pub5<-delete_contained_entities
(10276|10312) pub27  waiting for acks returned
(10276|10312) pub27<-delete_contained_entities
(10276|10316) pub31  waiting for acks returned
(10276|10316) pub31<-delete_contained_entities
(10276|10284)  sub 80% (820 samples received)
(10276|10304) pub16  writer id: 01030000.36965870.00000007.00000102(864d915a)
(10276|10304) pub16->started
(10276|10304) pub16->wait_match() before write for 01030000.36965870.00000007.00000102(864d915a)
(10276|10299) pub6<-delete_participant
(10276|10293) pub13<-delete_participant
(10276|10295) pub12<-delete_participant
(10276|10284)  sub 90% (922 samples received)
(10276|10302) pub15<-delete_participant
(10276|10286) pub0<-delete_participant
(10276|10292) pub11<-match found! before write for 01030000.36965870.0000000b.00000102(43bd7c5b)
(10276|10292) pub11  12% (4 samples sent)
(10276|10292) pub11  21% (7 samples sent)
(10276|10292) pub11  31% (10 samples sent)
(10276|10292) pub11  40% (13 samples sent)
(10276|10292) pub11  50% (16 samples sent)
(10276|10292) pub11  62% (20 samples sent)
(10276|10292) pub11  71% (23 samples sent)
(10276|10292) pub11  81% (26 samples sent)
(10276|10292) pub11  90% (29 samples sent)
(10276|10292) pub11  100% (32 samples sent)
(10276|10292) pub11  waiting for acks
(10276|10304) pub16<-match found! before write for 01030000.36965870.00000007.00000102(864d915a)
(10276|10304) pub16  12% (4 samples sent)
(10276|10304) pub16  21% (7 samples sent)
(10276|10304) pub16  31% (10 samples sent)
(10276|10304) pub16  40% (13 samples sent)
(10276|10304) pub16  50% (16 samples sent)
(10276|10308) pub23  waiting for acks returned
(10276|10308) pub23<-delete_contained_entities
(10276|10304) pub16  62% (20 samples sent)
(10276|10289) pub4  waiting for acks returned
(10276|10289) pub4<-delete_contained_entities
(10276|10305) pub20  waiting for acks returned
(10276|10305) pub20<-delete_contained_entities
(10276|10306) pub21  waiting for acks returned
(10276|10306) pub21<-delete_contained_entities
(10276|10304) pub16  71% (23 samples sent)
(10276|10307) pub22  waiting for acks returned
(10276|10307) pub22<-delete_contained_entities
(10276|10304) pub16  81% (26 samples sent)
(10276|10304) pub16  90% (29 samples sent)
(10276|10304) pub16  100% (32 samples sent)
(10276|10304) pub16  waiting for acks
(10276|10291) pub19<-delete_participant
(10276|10284) sub condition_.notify_all
(10276|10276) sub condition_.wait returned
(10276|10276) sub check_received
(10276|10276) sub check_received returns 0
(10276|10276) <- PublisherService::end
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10288) pub3<-delete_participant
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10303) pub14<-delete_participant
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284) sub condition_.notify_all
(10276|10284)  sub 100% (1024 samples received)
(10276|10284) sub condition_.notify_all
(10276|10304) pub16  waiting for acks returned
(10276|10304) pub16<-delete_contained_entities
(10276|10292) pub11  waiting for acks returned
(10276|10292) pub11<-delete_contained_entities
(10276|10298) pub17<-delete_participant
(10276|10294) pub10<-delete_participant
(10276|10297) pub18<-delete_participant
(10276|10296) pub7<-delete_participant
(10276|10300) pub8<-delete_participant
(10276|10301) pub9<-delete_participant
(10276|10315) pub30<-delete_participant
(10276|10285) pub1<-delete_participant
(10276|10314) pub29<-delete_participant
(10276|10313) pub26<-delete_participant
(10276|10309) pub24<-delete_participant
(10276|10311) pub25<-delete_participant
(10276|10310) pub28<-delete_participant
(10276|10290) pub5<-delete_participant
(10276|10312) pub27<-delete_participant
(10276|10316) pub31<-delete_participant
(10276|10308) pub23<-delete_participant
(10276|10289) pub4<-delete_participant
(10276|10305) pub20<-delete_participant
(10276|10306) pub21<-delete_participant
(10276|10307) pub22<-delete_participant
(10276|10304) pub16<-delete_participant
(10276|10292) pub11<-delete_participant
(10276|10276) <- PublisherService::~PublisherService
(10276|10276) <- Subscriber delete_contained_entities
(10276|10276) <- Subscriber delete_participant
(10276|10276) <- Subscriber::~Subscriber
(10276|10276) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl high Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl aggressive #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 10318
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 64 -s 16 -n 1024 -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 10325 started at 2023-04-19 14:16:54
(10325|10325) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(10325|10325) -> Thrasher started
(10325|10325) -> Subscriber::Subscriber
(10318|10318) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(10325|10325) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(10325|10325)    Subscriber reader id: 01030000.7e68ad26.00000002.00000507(405cdde4)
(10325|10325) -> PublisherService::PublisherService
(10325|10325) -> PublisherService::start (64 threads)
(10325|10325) sub wait_received 0:64
(10325|10334) pub0  writer id: 01030000.7e68ad26.00000003.00000102(693ac5df)
(10325|10334) pub0->started
(10325|10334) pub0->wait_match() before write for 01030000.7e68ad26.00000003.00000102(693ac5df)
(10325|10334) pub0<-match found! before write for 01030000.7e68ad26.00000003.00000102(693ac5df)
(10325|10334) pub0  12% (2 samples sent)
(10325|10334) pub0  25% (4 samples sent)
(10325|10334) pub0  31% (5 samples sent)
(10325|10334) pub0  43% (7 samples sent)
(10325|10334) pub0  50% (8 samples sent)
(10325|10334) pub0  62% (10 samples sent)
(10325|10334) pub0  75% (12 samples sent)
(10325|10334) pub0  81% (13 samples sent)
(10325|10334) pub0  93% (15 samples sent)
(10325|10334) pub0  100% (16 samples sent)
(10325|10334) pub0  waiting for acks
(10325|10334) pub0  waiting for acks returned
(10325|10334) pub0<-delete_contained_entities
(10325|10339) pub2  writer id: 01030000.7e68ad26.00000005.00000102(e67a307f)
(10325|10339) pub2->started
(10325|10339) pub2->wait_match() before write for 01030000.7e68ad26.00000005.00000102(e67a307f)
(10325|10339) pub2<-match found! before write for 01030000.7e68ad26.00000005.00000102(e67a307f)
(10325|10339) pub2  12% (2 samples sent)
(10325|10339) pub2  25% (4 samples sent)
(10325|10339) pub2  31% (5 samples sent)
(10325|10339) pub2  43% (7 samples sent)
(10325|10339) pub2  50% (8 samples sent)
(10325|10339) pub2  62% (10 samples sent)
(10325|10339) pub2  75% (12 samples sent)
(10325|10339) pub2  81% (13 samples sent)
(10325|10339) pub2  93% (15 samples sent)
(10325|10339) pub2  100% (16 samples sent)
(10325|10339) pub2  waiting for acks
(10325|10339) pub2  waiting for acks returned
(10325|10339) pub2<-delete_contained_entities
(10325|10335) pub5  writer id: 01030000.7e68ad26.00000008.00000102(1eeaf4ce)
(10325|10335) pub5->started
(10325|10335) pub5->wait_match() before write for 01030000.7e68ad26.00000008.00000102(1eeaf4ce)
(10325|10335) pub5<-match found! before write for 01030000.7e68ad26.00000008.00000102(1eeaf4ce)
(10325|10335) pub5  12% (2 samples sent)
(10325|10335) pub5  25% (4 samples sent)
(10325|10335) pub5  31% (5 samples sent)
(10325|10335) pub5  43% (7 samples sent)
(10325|10335) pub5  50% (8 samples sent)
(10325|10335) pub5  62% (10 samples sent)
(10325|10335) pub5  75% (12 samples sent)
(10325|10335) pub5  81% (13 samples sent)
(10325|10335) pub5  93% (15 samples sent)
(10325|10335) pub5  100% (16 samples sent)
(10325|10335) pub5  waiting for acks
(10325|10335) pub5  waiting for acks returned
(10325|10335) pub5<-delete_contained_entities
(10325|10337) pub4  writer id: 01030000.7e68ad26.00000007.00000102(9cba631f)
(10325|10337) pub4->started
(10325|10337) pub4->wait_match() before write for 01030000.7e68ad26.00000007.00000102(9cba631f)
(10325|10337) pub4<-match found! before write for 01030000.7e68ad26.00000007.00000102(9cba631f)
(10325|10337) pub4  12% (2 samples sent)
(10325|10337) pub4  25% (4 samples sent)
(10325|10337) pub4  31% (5 samples sent)
(10325|10337) pub4  43% (7 samples sent)
(10325|10337) pub4  50% (8 samples sent)
(10325|10337) pub4  62% (10 samples sent)
(10325|10337) pub4  75% (12 samples sent)
(10325|10337) pub4  81% (13 samples sent)
(10325|10337) pub4  93% (15 samples sent)
(10325|10337) pub4  100% (16 samples sent)
(10325|10337) pub4  waiting for acks
(10325|10337) pub4  waiting for acks returned
(10325|10337) pub4<-delete_contained_entities
(10325|10336) pub1  writer id: 01030000.7e68ad26.00000004.00000102(db1a19cf)
(10325|10336) pub1->started
(10325|10336) pub1->wait_match() before write for 01030000.7e68ad26.00000004.00000102(db1a19cf)
(10325|10336) pub1<-match found! before write for 01030000.7e68ad26.00000004.00000102(db1a19cf)
(10325|10336) pub1  12% (2 samples sent)
(10325|10336) pub1  25% (4 samples sent)
(10325|10336) pub1  31% (5 samples sent)
(10325|10336) pub1  43% (7 samples sent)
(10325|10336) pub1  50% (8 samples sent)
(10325|10336) pub1  62% (10 samples sent)
(10325|10336) pub1  75% (12 samples sent)
(10325|10336) pub1  81% (13 samples sent)
(10325|10336) pub1  93% (15 samples sent)
(10325|10336) pub1  100% (16 samples sent)
(10325|10336) pub1  waiting for acks
(10325|10336) pub1  waiting for acks returned
(10325|10336) pub1<-delete_contained_entities
(10325|10338) pub3  writer id: 01030000.7e68ad26.00000006.00000102(a1da4aaf)
(10325|10338) pub3->started
(10325|10338) pub3->wait_match() before write for 01030000.7e68ad26.00000006.00000102(a1da4aaf)
(10325|10338) pub3<-match found! before write for 01030000.7e68ad26.00000006.00000102(a1da4aaf)
(10325|10338) pub3  12% (2 samples sent)
(10325|10338) pub3  25% (4 samples sent)
(10325|10338) pub3  31% (5 samples sent)
(10325|10338) pub3  43% (7 samples sent)
(10325|10338) pub3  50% (8 samples sent)
(10325|10338) pub3  62% (10 samples sent)
(10325|10338) pub3  75% (12 samples sent)
(10325|10338) pub3  81% (13 samples sent)
(10325|10338) pub3  93% (15 samples sent)
(10325|10338) pub3  100% (16 samples sent)
(10325|10338) pub3  waiting for acks
(10325|10338) pub3  waiting for acks returned
(10325|10338) pub3<-delete_contained_entities
(10325|10348) pub7  writer id: 01030000.7e68ad26.0000000d.00000102(d60a7bbe)
(10325|10348) pub7->started
(10325|10348) pub7->wait_match() before write for 01030000.7e68ad26.0000000d.00000102(d60a7bbe)
(10325|10348) pub7<-match found! before write for 01030000.7e68ad26.0000000d.00000102(d60a7bbe)
(10325|10348) pub7  12% (2 samples sent)
(10325|10348) pub7  25% (4 samples sent)
(10325|10348) pub7  31% (5 samples sent)
(10325|10348) pub7  43% (7 samples sent)
(10325|10348) pub7  50% (8 samples sent)
(10325|10348) pub7  62% (10 samples sent)
(10325|10348) pub7  75% (12 samples sent)
(10325|10348) pub7  81% (13 samples sent)
(10325|10348) pub7  93% (15 samples sent)
(10325|10348) pub7  100% (16 samples sent)
(10325|10348) pub7  waiting for acks
(10325|10333)  sub 10% (103 samples received)
(10325|10348) pub7  waiting for acks returned
(10325|10348) pub7<-delete_contained_entities
(10325|10347) pub14  writer id: 01030000.7e68ad26.0000000a.00000102(642aa7ae)
(10325|10347) pub14->started
(10325|10347) pub14->wait_match() before write for 01030000.7e68ad26.0000000a.00000102(642aa7ae)
(10325|10347) pub14<-match found! before write for 01030000.7e68ad26.0000000a.00000102(642aa7ae)
(10325|10347) pub14  12% (2 samples sent)
(10325|10347) pub14  25% (4 samples sent)
(10325|10347) pub14  31% (5 samples sent)
(10325|10347) pub14  43% (7 samples sent)
(10325|10347) pub14  50% (8 samples sent)
(10325|10347) pub14  62% (10 samples sent)
(10325|10347) pub14  75% (12 samples sent)
(10325|10347) pub14  81% (13 samples sent)
(10325|10347) pub14  93% (15 samples sent)
(10325|10347) pub14  100% (16 samples sent)
(10325|10347) pub14  waiting for acks
(10325|10347) pub14  waiting for acks returned
(10325|10347) pub14<-delete_contained_entities
(10325|10343) pub8  writer id: 01030000.7e68ad26.0000000c.00000102(eb6a520e)
(10325|10343) pub8->started
(10325|10343) pub8->wait_match() before write for 01030000.7e68ad26.0000000c.00000102(eb6a520e)
(10325|10343) pub8<-match found! before write for 01030000.7e68ad26.0000000c.00000102(eb6a520e)
(10325|10343) pub8  12% (2 samples sent)
(10325|10343) pub8  25% (4 samples sent)
(10325|10343) pub8  31% (5 samples sent)
(10325|10343) pub8  43% (7 samples sent)
(10325|10343) pub8  50% (8 samples sent)
(10325|10343) pub8  62% (10 samples sent)
(10325|10343) pub8  75% (12 samples sent)
(10325|10343) pub8  81% (13 samples sent)
(10325|10343) pub8  93% (15 samples sent)
(10325|10343) pub8  100% (16 samples sent)
(10325|10343) pub8  waiting for acks
(10325|10343) pub8  waiting for acks returned
(10325|10343) pub8<-delete_contained_entities
(10325|10341) pub15  writer id: 01030000.7e68ad26.0000000e.00000102(91aa016e)
(10325|10341) pub15->started
(10325|10341) pub15->wait_match() before write for 01030000.7e68ad26.0000000e.00000102(91aa016e)
(10325|10341) pub15<-match found! before write for 01030000.7e68ad26.0000000e.00000102(91aa016e)
(10325|10341) pub15  12% (2 samples sent)
(10325|10341) pub15  25% (4 samples sent)
(10325|10341) pub15  31% (5 samples sent)
(10325|10341) pub15  43% (7 samples sent)
(10325|10341) pub15  50% (8 samples sent)
(10325|10341) pub15  62% (10 samples sent)
(10325|10341) pub15  75% (12 samples sent)
(10325|10341) pub15  81% (13 samples sent)
(10325|10341) pub15  93% (15 samples sent)
(10325|10341) pub15  100% (16 samples sent)
(10325|10341) pub15  waiting for acks
(10325|10341) pub15  waiting for acks returned
(10325|10341) pub15<-delete_contained_entities
(10325|10346) pub6  writer id: 01030000.7e68ad26.00000009.00000102(238add7e)
(10325|10346) pub6->started
(10325|10346) pub6->wait_match() before write for 01030000.7e68ad26.00000009.00000102(238add7e)
(10325|10346) pub6<-match found! before write for 01030000.7e68ad26.00000009.00000102(238add7e)
(10325|10346) pub6  12% (2 samples sent)
(10325|10346) pub6  25% (4 samples sent)
(10325|10346) pub6  31% (5 samples sent)
(10325|10346) pub6  43% (7 samples sent)
(10325|10346) pub6  50% (8 samples sent)
(10325|10346) pub6  62% (10 samples sent)
(10325|10346) pub6  75% (12 samples sent)
(10325|10346) pub6  81% (13 samples sent)
(10325|10346) pub6  93% (15 samples sent)
(10325|10346) pub6  100% (16 samples sent)
(10325|10346) pub6  waiting for acks
(10325|10346) pub6  waiting for acks returned
(10325|10346) pub6<-delete_contained_entities
(10325|10349) pub12  writer id: 01030000.7e68ad26.0000000b.00000102(594a8e1e)
(10325|10349) pub12->started
(10325|10349) pub12->wait_match() before write for 01030000.7e68ad26.0000000b.00000102(594a8e1e)
(10325|10349) pub12<-match found! before write for 01030000.7e68ad26.0000000b.00000102(594a8e1e)
(10325|10349) pub12  12% (2 samples sent)
(10325|10349) pub12  25% (4 samples sent)
(10325|10349) pub12  31% (5 samples sent)
(10325|10349) pub12  43% (7 samples sent)
(10325|10349) pub12  50% (8 samples sent)
(10325|10349) pub12  62% (10 samples sent)
(10325|10349) pub12  75% (12 samples sent)
(10325|10349) pub12  81% (13 samples sent)
(10325|10349) pub12  93% (15 samples sent)
(10325|10349) pub12  100% (16 samples sent)
(10325|10349) pub12  waiting for acks
(10325|10349) pub12  waiting for acks returned
(10325|10349) pub12<-delete_contained_entities
(10325|10350) pub9  writer id: 01030000.7e68ad26.0000000f.00000102(acca28de)
(10325|10350) pub9->started
(10325|10350) pub9->wait_match() before write for 01030000.7e68ad26.0000000f.00000102(acca28de)
(10325|10350) pub9<-match found! before write for 01030000.7e68ad26.0000000f.00000102(acca28de)
(10325|10350) pub9  12% (2 samples sent)
(10325|10350) pub9  25% (4 samples sent)
(10325|10350) pub9  31% (5 samples sent)
(10325|10350) pub9  43% (7 samples sent)
(10325|10350) pub9  50% (8 samples sent)
(10325|10350) pub9  62% (10 samples sent)
(10325|10350) pub9  75% (12 samples sent)
(10325|10350) pub9  81% (13 samples sent)
(10325|10350) pub9  93% (15 samples sent)
(10325|10350) pub9  100% (16 samples sent)
(10325|10350) pub9  waiting for acks
(10325|10355) pub19  writer id: 01030000.7e68ad26.00000011.00000102(731a013d)
(10325|10355) pub19->started
(10325|10355) pub19->wait_match() before write for 01030000.7e68ad26.00000011.00000102(731a013d)
(10325|10333)  sub 20% (205 samples received)
(10325|10359) pub25  writer id: 01030000.7e68ad26.00000016.00000102(c13add2d)
(10325|10359) pub25->started
(10325|10359) pub25->wait_match() before write for 01030000.7e68ad26.00000016.00000102(c13add2d)
(10325|10355) pub19<-match found! before write for 01030000.7e68ad26.00000011.00000102(731a013d)
(10325|10355) pub19  12% (2 samples sent)
(10325|10355) pub19  25% (4 samples sent)
(10325|10355) pub19  31% (5 samples sent)
(10325|10355) pub19  43% (7 samples sent)
(10325|10355) pub19  50% (8 samples sent)
(10325|10355) pub19  62% (10 samples sent)
(10325|10355) pub19  75% (12 samples sent)
(10325|10355) pub19  81% (13 samples sent)
(10325|10355) pub19  93% (15 samples sent)
(10325|10355) pub19  100% (16 samples sent)
(10325|10355) pub19  waiting for acks
(10325|10359) pub25<-match found! before write for 01030000.7e68ad26.00000016.00000102(c13add2d)
(10325|10359) pub25  12% (2 samples sent)
(10325|10359) pub25  25% (4 samples sent)
(10325|10359) pub25  31% (5 samples sent)
(10325|10359) pub25  43% (7 samples sent)
(10325|10359) pub25  50% (8 samples sent)
(10325|10359) pub25  62% (10 samples sent)
(10325|10359) pub25  75% (12 samples sent)
(10325|10359) pub25  81% (13 samples sent)
(10325|10359) pub25  93% (15 samples sent)
(10325|10359) pub25  100% (16 samples sent)
(10325|10359) pub25  waiting for acks
(10325|10344) pub10  writer id: 01030000.7e68ad26.00000010.00000102(4e7a288d)
(10325|10344) pub10->started
(10325|10344) pub10->wait_match() before write for 01030000.7e68ad26.00000010.00000102(4e7a288d)
(10325|10350) pub9  waiting for acks returned
(10325|10350) pub9<-delete_contained_entities
(10325|10344) pub10<-match found! before write for 01030000.7e68ad26.00000010.00000102(4e7a288d)
(10325|10344) pub10  12% (2 samples sent)
(10325|10344) pub10  25% (4 samples sent)
(10325|10344) pub10  31% (5 samples sent)
(10325|10344) pub10  43% (7 samples sent)
(10325|10344) pub10  50% (8 samples sent)
(10325|10344) pub10  62% (10 samples sent)
(10325|10344) pub10  75% (12 samples sent)
(10325|10344) pub10  81% (13 samples sent)
(10325|10344) pub10  93% (15 samples sent)
(10325|10344) pub10  100% (16 samples sent)
(10325|10344) pub10  waiting for acks
(10325|10345) pub13  writer id: 01030000.7e68ad26.00000012.00000102(34ba7bed)
(10325|10345) pub13->started
(10325|10345) pub13->wait_match() before write for 01030000.7e68ad26.00000012.00000102(34ba7bed)
(10325|10364) pub30  writer id: 01030000.7e68ad26.00000022.00000102(959bc36b)
(10325|10364) pub30->started
(10325|10364) pub30->wait_match() before write for 01030000.7e68ad26.00000022.00000102(959bc36b)
(10325|10340) pub17  writer id: 01030000.7e68ad26.00000013.00000102(09da525d)
(10325|10340) pub17->started
(10325|10340) pub17->wait_match() before write for 01030000.7e68ad26.00000013.00000102(09da525d)
(10325|10345) pub13<-match found! before write for 01030000.7e68ad26.00000012.00000102(34ba7bed)
(10325|10355) pub19  waiting for acks returned
(10325|10355) pub19<-delete_contained_entities
(10325|10345) pub13  12% (2 samples sent)
(10325|10345) pub13  25% (4 samples sent)
(10325|10345) pub13  31% (5 samples sent)
(10325|10345) pub13  43% (7 samples sent)
(10325|10345) pub13  50% (8 samples sent)
(10325|10345) pub13  62% (10 samples sent)
(10325|10359) pub25  waiting for acks returned
(10325|10359) pub25<-delete_contained_entities
(10325|10345) pub13  75% (12 samples sent)
(10325|10374) pub40  writer id: 01030000.7e68ad26.00000028.00000102(df2bdbca)
(10325|10374) pub40->started
(10325|10374) pub40->wait_match() before write for 01030000.7e68ad26.00000028.00000102(df2bdbca)
(10325|10345) pub13  81% (13 samples sent)
(10325|10364) pub30<-match found! before write for 01030000.7e68ad26.00000022.00000102(959bc36b)
(10325|10345) pub13  93% (15 samples sent)
(10325|10345) pub13  100% (16 samples sent)
(10325|10345) pub13  waiting for acks
(10325|10364) pub30  12% (2 samples sent)
(10325|10364) pub30  25% (4 samples sent)
(10325|10364) pub30  31% (5 samples sent)
(10325|10364) pub30  43% (7 samples sent)
(10325|10364) pub30  50% (8 samples sent)
(10325|10364) pub30  62% (10 samples sent)
(10325|10364) pub30  75% (12 samples sent)
(10325|10364) pub30  81% (13 samples sent)
(10325|10364) pub30  93% (15 samples sent)
(10325|10364) pub30  100% (16 samples sent)
(10325|10364) pub30  waiting for acks
(10325|10367) pub63  writer id: 01030000.7e68ad26.00000041.00000102(4b09cef6)
(10325|10367) pub63->started
(10325|10367) pub63->wait_match() before write for 01030000.7e68ad26.00000041.00000102(4b09cef6)
(10325|10340) pub17<-match found! before write for 01030000.7e68ad26.00000013.00000102(09da525d)
(10325|10340) pub17  12% (2 samples sent)
(10325|10340) pub17  25% (4 samples sent)
(10325|10340) pub17  31% (5 samples sent)
(10325|10340) pub17  43% (7 samples sent)
(10325|10340) pub17  50% (8 samples sent)
(10325|10340) pub17  62% (10 samples sent)
(10325|10340) pub17  75% (12 samples sent)
(10325|10340) pub17  81% (13 samples sent)
(10325|10340) pub17  93% (15 samples sent)
(10325|10340) pub17  100% (16 samples sent)
(10325|10340) pub17  waiting for acks
(10325|10344) pub10  waiting for acks returned
(10325|10344) pub10<-delete_contained_entities
(10325|10356) pub20  writer id: 01030000.7e68ad26.00000017.00000102(fc5af49d)
(10325|10356) pub20->started
(10325|10356) pub20->wait_match() before write for 01030000.7e68ad26.00000017.00000102(fc5af49d)
(10325|10374) pub40<-match found! before write for 01030000.7e68ad26.00000028.00000102(df2bdbca)
(10325|10374) pub40  12% (2 samples sent)
(10325|10374) pub40  25% (4 samples sent)
(10325|10374) pub40  31% (5 samples sent)
(10325|10374) pub40  43% (7 samples sent)
(10325|10374) pub40  50% (8 samples sent)
(10325|10374) pub40  62% (10 samples sent)
(10325|10374) pub40  75% (12 samples sent)
(10325|10374) pub40  81% (13 samples sent)
(10325|10374) pub40  93% (15 samples sent)
(10325|10374) pub40  100% (16 samples sent)
(10325|10374) pub40  waiting for acks
(10325|10367) pub63<-match found! before write for 01030000.7e68ad26.00000041.00000102(4b09cef6)
(10325|10367) pub63  12% (2 samples sent)
(10325|10367) pub63  25% (4 samples sent)
(10325|10367) pub63  31% (5 samples sent)
(10325|10367) pub63  43% (7 samples sent)
(10325|10367) pub63  50% (8 samples sent)
(10325|10367) pub63  62% (10 samples sent)
(10325|10367) pub63  75% (12 samples sent)
(10325|10367) pub63  81% (13 samples sent)
(10325|10367) pub63  93% (15 samples sent)
(10325|10367) pub63  100% (16 samples sent)
(10325|10367) pub63  waiting for acks
(10325|10366) pub55  writer id: 01030000.7e68ad26.00000039.00000102(82ab65f8)
(10325|10366) pub55->started
(10325|10366) pub55->wait_match() before write for 01030000.7e68ad26.00000039.00000102(82ab65f8)
(10325|10352) pub16  writer id: 01030000.7e68ad26.00000015.00000102(869aa7fd)
(10325|10352) pub16->started
(10325|10352) pub16->wait_match() before write for 01030000.7e68ad26.00000015.00000102(869aa7fd)
(10325|10333)  sub 30% (308 samples received)
(10325|10356) pub20<-match found! before write for 01030000.7e68ad26.00000017.00000102(fc5af49d)
(10325|10356) pub20  12% (2 samples sent)
(10325|10356) pub20  25% (4 samples sent)
(10325|10356) pub20  31% (5 samples sent)
(10325|10356) pub20  43% (7 samples sent)
(10325|10356) pub20  50% (8 samples sent)
(10325|10356) pub20  62% (10 samples sent)
(10325|10356) pub20  75% (12 samples sent)
(10325|10356) pub20  81% (13 samples sent)
(10325|10356) pub20  93% (15 samples sent)
(10325|10356) pub20  100% (16 samples sent)
(10325|10356) pub20  waiting for acks
(10325|10360) pub21  writer id: 01030000.7e68ad26.00000018.00000102(7e0a634c)
(10325|10360) pub21->started
(10325|10360) pub21->wait_match() before write for 01030000.7e68ad26.00000018.00000102(7e0a634c)
(10325|10345) pub13  waiting for acks returned
(10325|10345) pub13<-delete_contained_entities
(10325|10364) pub30  waiting for acks returned
(10325|10364) pub30<-delete_contained_entities
(10325|10366) pub55<-match found! before write for 01030000.7e68ad26.00000039.00000102(82ab65f8)
(10325|10366) pub55  12% (2 samples sent)
(10325|10366) pub55  25% (4 samples sent)
(10325|10366) pub55  31% (5 samples sent)
(10325|10366) pub55  43% (7 samples sent)
(10325|10366) pub55  50% (8 samples sent)
(10325|10366) pub55  62% (10 samples sent)
(10325|10366) pub55  75% (12 samples sent)
(10325|10366) pub55  81% (13 samples sent)
(10325|10366) pub55  93% (15 samples sent)
(10325|10366) pub55  100% (16 samples sent)
(10325|10366) pub55  waiting for acks
(10325|10352) pub16<-match found! before write for 01030000.7e68ad26.00000015.00000102(869aa7fd)
(10325|10352) pub16  12% (2 samples sent)
(10325|10352) pub16  25% (4 samples sent)
(10325|10352) pub16  31% (5 samples sent)
(10325|10352) pub16  43% (7 samples sent)
(10325|10352) pub16  50% (8 samples sent)
(10325|10352) pub16  62% (10 samples sent)
(10325|10352) pub16  75% (12 samples sent)
(10325|10352) pub16  81% (13 samples sent)
(10325|10352) pub16  93% (15 samples sent)
(10325|10352) pub16  100% (16 samples sent)
(10325|10352) pub16  waiting for acks
(10325|10357) pub22  writer id: 01030000.7e68ad26.00000019.00000102(436a4afc)
(10325|10357) pub22->started
(10325|10357) pub22->wait_match() before write for 01030000.7e68ad26.00000019.00000102(436a4afc)
(10325|10340) pub17  waiting for acks returned
(10325|10340) pub17<-delete_contained_entities
(10325|10374) pub40  waiting for acks returned
(10325|10374) pub40<-delete_contained_entities
(10325|10363) pub24  writer id: 01030000.7e68ad26.0000001b.00000102(39aa199c)
(10325|10363) pub24->started
(10325|10363) pub24->wait_match() before write for 01030000.7e68ad26.0000001b.00000102(39aa199c)
(10325|10362) pub27  writer id: 01030000.7e68ad26.0000001c.00000102(8b8ac58c)
(10325|10362) pub27->started
(10325|10362) pub27->wait_match() before write for 01030000.7e68ad26.0000001c.00000102(8b8ac58c)
(10325|10354) pub28  writer id: 01030000.7e68ad26.0000001d.00000102(b6eaec3c)
(10325|10354) pub28->started
(10325|10354) pub28->wait_match() before write for 01030000.7e68ad26.0000001d.00000102(b6eaec3c)
(10325|10358) pub23  writer id: 01030000.7e68ad26.0000001a.00000102(04ca302c)
(10325|10358) pub23->started
(10325|10358) pub23->wait_match() before write for 01030000.7e68ad26.0000001a.00000102(04ca302c)
(10325|10361) pub26  writer id: 01030000.7e68ad26.0000001e.00000102(f14a96ec)
(10325|10361) pub26->started
(10325|10361) pub26->wait_match() before write for 01030000.7e68ad26.0000001e.00000102(f14a96ec)
(10325|10378) pub33  writer id: 01030000.7e68ad26.00000020.00000102(ef5b900b)
(10325|10378) pub33->started
(10325|10378) pub33->wait_match() before write for 01030000.7e68ad26.00000020.00000102(ef5b900b)
(10325|10360) pub21<-match found! before write for 01030000.7e68ad26.00000018.00000102(7e0a634c)
(10325|10360) pub21  12% (2 samples sent)
(10325|10360) pub21  25% (4 samples sent)
(10325|10360) pub21  31% (5 samples sent)
(10325|10360) pub21  43% (7 samples sent)
(10325|10360) pub21  50% (8 samples sent)
(10325|10360) pub21  62% (10 samples sent)
(10325|10380) pub37  writer id: 01030000.7e68ad26.0000001f.00000102(cc2abf5c)
(10325|10360) pub21  75% (12 samples sent)
(10325|10380) pub37->started
(10325|10380) pub37->wait_match() before write for 01030000.7e68ad26.0000001f.00000102(cc2abf5c)
(10325|10360) pub21  81% (13 samples sent)
(10325|10360) pub21  93% (15 samples sent)
(10325|10360) pub21  100% (16 samples sent)
(10325|10360) pub21  waiting for acks
(10325|10357) pub22<-match found! before write for 01030000.7e68ad26.00000019.00000102(436a4afc)
(10325|10363) pub24<-match found! before write for 01030000.7e68ad26.0000001b.00000102(39aa199c)
(10325|10362) pub27<-match found! before write for 01030000.7e68ad26.0000001c.00000102(8b8ac58c)
(10325|10357) pub22  12% (2 samples sent)
(10325|10367) pub63  waiting for acks returned
(10325|10367) pub63<-delete_contained_entities
(10325|10357) pub22  25% (4 samples sent)
(10325|10356) pub20  waiting for acks returned
(10325|10356) pub20<-delete_contained_entities
(10325|10363) pub24  12% (2 samples sent)
(10325|10354) pub28<-match found! before write for 01030000.7e68ad26.0000001d.00000102(b6eaec3c)
(10325|10354) pub28  12% (2 samples sent)
(10325|10354) pub28  25% (4 samples sent)
(10325|10354) pub28  31% (5 samples sent)
(10325|10354) pub28  43% (7 samples sent)
(10325|10354) pub28  50% (8 samples sent)
(10325|10354) pub28  62% (10 samples sent)
(10325|10354) pub28  75% (12 samples sent)
(10325|10354) pub28  81% (13 samples sent)
(10325|10354) pub28  93% (15 samples sent)
(10325|10354) pub28  100% (16 samples sent)
(10325|10354) pub28  waiting for acks
(10325|10366) pub55  waiting for acks returned
(10325|10366) pub55<-delete_contained_entities
(10325|10363) pub24  25% (4 samples sent)
(10325|10363) pub24  31% (5 samples sent)
(10325|10363) pub24  43% (7 samples sent)
(10325|10363) pub24  50% (8 samples sent)
(10325|10363) pub24  62% (10 samples sent)
(10325|10363) pub24  75% (12 samples sent)
(10325|10363) pub24  81% (13 samples sent)
(10325|10352) pub16  waiting for acks returned
(10325|10352) pub16<-delete_contained_entities
(10325|10363) pub24  93% (15 samples sent)
(10325|10358) pub23<-match found! before write for 01030000.7e68ad26.0000001a.00000102(04ca302c)
(10325|10362) pub27  12% (2 samples sent)
(10325|10358) pub23  12% (2 samples sent)
(10325|10362) pub27  25% (4 samples sent)
(10325|10362) pub27  31% (5 samples sent)
(10325|10358) pub23  25% (4 samples sent)
(10325|10358) pub23  31% (5 samples sent)
(10325|10362) pub27  43% (7 samples sent)
(10325|10362) pub27  50% (8 samples sent)
(10325|10358) pub23  43% (7 samples sent)
(10325|10358) pub23  50% (8 samples sent)
(10325|10362) pub27  62% (10 samples sent)
(10325|10358) pub23  62% (10 samples sent)
(10325|10362) pub27  75% (12 samples sent)
(10325|10362) pub27  81% (13 samples sent)
(10325|10358) pub23  75% (12 samples sent)
(10325|10358) pub23  81% (13 samples sent)
(10325|10362) pub27  93% (15 samples sent)
(10325|10362) pub27  100% (16 samples sent)
(10325|10362) pub27  waiting for acks
(10325|10358) pub23  93% (15 samples sent)
(10325|10358) pub23  100% (16 samples sent)
(10325|10358) pub23  waiting for acks
(10325|10357) pub22  31% (5 samples sent)
(10325|10357) pub22  43% (7 samples sent)
(10325|10357) pub22  50% (8 samples sent)
(10325|10357) pub22  62% (10 samples sent)
(10325|10357) pub22  75% (12 samples sent)
(10325|10357) pub22  81% (13 samples sent)
(10325|10357) pub22  93% (15 samples sent)
(10325|10357) pub22  100% (16 samples sent)
(10325|10357) pub22  waiting for acks
(10325|10363) pub24  100% (16 samples sent)
(10325|10363) pub24  waiting for acks
(10325|10361) pub26<-match found! before write for 01030000.7e68ad26.0000001e.00000102(f14a96ec)
(10325|10378) pub33<-match found! before write for 01030000.7e68ad26.00000020.00000102(ef5b900b)
(10325|10361) pub26  12% (2 samples sent)
(10325|10360) pub21  waiting for acks returned
(10325|10360) pub21<-delete_contained_entities
(10325|10361) pub26  25% (4 samples sent)
(10325|10380) pub37<-match found! before write for 01030000.7e68ad26.0000001f.00000102(cc2abf5c)
(10325|10380) pub37  12% (2 samples sent)
(10325|10380) pub37  25% (4 samples sent)
(10325|10380) pub37  31% (5 samples sent)
(10325|10380) pub37  43% (7 samples sent)
(10325|10333)  sub 40% (410 samples received)
(10325|10380) pub37  50% (8 samples sent)
(10325|10380) pub37  62% (10 samples sent)
(10325|10380) pub37  75% (12 samples sent)
(10325|10380) pub37  81% (13 samples sent)
(10325|10380) pub37  93% (15 samples sent)
(10325|10380) pub37  100% (16 samples sent)
(10325|10380) pub37  waiting for acks
(10325|10378) pub33  12% (2 samples sent)
(10325|10378) pub33  25% (4 samples sent)
(10325|10378) pub33  31% (5 samples sent)
(10325|10378) pub33  43% (7 samples sent)
(10325|10378) pub33  50% (8 samples sent)
(10325|10378) pub33  62% (10 samples sent)
(10325|10378) pub33  75% (12 samples sent)
(10325|10378) pub33  81% (13 samples sent)
(10325|10378) pub33  93% (15 samples sent)
(10325|10378) pub33  100% (16 samples sent)
(10325|10378) pub33  waiting for acks
(10325|10361) pub26  31% (5 samples sent)
(10325|10361) pub26  43% (7 samples sent)
(10325|10361) pub26  50% (8 samples sent)
(10325|10361) pub26  62% (10 samples sent)
(10325|10361) pub26  75% (12 samples sent)
(10325|10361) pub26  81% (13 samples sent)
(10325|10361) pub26  93% (15 samples sent)
(10325|10361) pub26  100% (16 samples sent)
(10325|10361) pub26  waiting for acks
(10325|10353) pub29  writer id: 01030000.7e68ad26.00000021.00000102(d23bb9bb)
(10325|10353) pub29->started
(10325|10353) pub29->wait_match() before write for 01030000.7e68ad26.00000021.00000102(d23bb9bb)
(10325|10365) pub32  writer id: 01030000.7e68ad26.00000023.00000102(a8fbeadb)
(10325|10365) pub32->started
(10325|10365) pub32->wait_match() before write for 01030000.7e68ad26.00000023.00000102(a8fbeadb)
(10325|10381) pub36  writer id: 01030000.7e68ad26.00000024.00000102(1adb36cb)
(10325|10381) pub36->started
(10325|10381) pub36->wait_match() before write for 01030000.7e68ad26.00000024.00000102(1adb36cb)
(10325|10354) pub28  waiting for acks returned
(10325|10354) pub28<-delete_contained_entities
(10325|10353) pub29<-match found! before write for 01030000.7e68ad26.00000021.00000102(d23bb9bb)
(10325|10353) pub29  12% (2 samples sent)
(10325|10353) pub29  25% (4 samples sent)
(10325|10353) pub29  31% (5 samples sent)
(10325|10353) pub29  43% (7 samples sent)
(10325|10353) pub29  50% (8 samples sent)
(10325|10353) pub29  62% (10 samples sent)
(10325|10353) pub29  75% (12 samples sent)
(10325|10353) pub29  81% (13 samples sent)
(10325|10353) pub29  93% (15 samples sent)
(10325|10353) pub29  100% (16 samples sent)
(10325|10353) pub29  waiting for acks
(10325|10371) pub38  writer id: 01030000.7e68ad26.00000026.00000102(601b65ab)
(10325|10371) pub38->started
(10325|10371) pub38->wait_match() before write for 01030000.7e68ad26.00000026.00000102(601b65ab)
(10325|10362) pub27  waiting for acks returned
(10325|10362) pub27<-delete_contained_entities
(10325|10358) pub23  waiting for acks returned
(10325|10358) pub23<-delete_contained_entities
(10325|10363) pub24  waiting for acks returned
(10325|10363) pub24<-delete_contained_entities
(10325|10357) pub22  waiting for acks returned
(10325|10357) pub22<-delete_contained_entities
(10325|10372) pub39  writer id: 01030000.7e68ad26.00000027.00000102(5d7b4c1b)
(10325|10372) pub39->started
(10325|10372) pub39->wait_match() before write for 01030000.7e68ad26.00000027.00000102(5d7b4c1b)
(10325|10387) pub34  writer id: 01030000.7e68ad26.0000002b.00000102(988ba11a)
(10325|10387) pub34->started
(10325|10387) pub34->wait_match() before write for 01030000.7e68ad26.0000002b.00000102(988ba11a)
(10325|10389) pub43  writer id: 01030000.7e68ad26.0000002d.00000102(17cb54ba)
(10325|10389) pub43->started
(10325|10389) pub43->wait_match() before write for 01030000.7e68ad26.0000002d.00000102(17cb54ba)
(10325|10333)  sub 50% (512 samples received)
(10325|10376) pub41  writer id: 01030000.7e68ad26.00000029.00000102(e24bf27a)
(10325|10376) pub41->started
(10325|10376) pub41->wait_match() before write for 01030000.7e68ad26.00000029.00000102(e24bf27a)
(10325|10384) pub35  writer id: 01030000.7e68ad26.0000002a.00000102(a5eb88aa)
(10325|10384) pub35->started
(10325|10384) pub35->wait_match() before write for 01030000.7e68ad26.0000002a.00000102(a5eb88aa)
(10325|10386) pub46  writer id: 01030000.7e68ad26.00000030.00000102(8fbb0789)
(10325|10386) pub46->started
(10325|10386) pub46->wait_match() before write for 01030000.7e68ad26.00000030.00000102(8fbb0789)
(10325|10379) pub58  writer id: 01030000.7e68ad26.0000003c.00000102(4a4bea88)
(10325|10379) pub58->started
(10325|10379) pub58->wait_match() before write for 01030000.7e68ad26.0000003c.00000102(4a4bea88)
(10325|10381) pub36<-match found! before write for 01030000.7e68ad26.00000024.00000102(1adb36cb)
(10325|10365) pub32<-match found! before write for 01030000.7e68ad26.00000023.00000102(a8fbeadb)
(10325|10381) pub36  12% (2 samples sent)
(10325|10365) pub32  12% (2 samples sent)
(10325|10381) pub36  25% (4 samples sent)
(10325|10365) pub32  25% (4 samples sent)
(10325|10381) pub36  31% (5 samples sent)
(10325|10365) pub32  31% (5 samples sent)
(10325|10365) pub32  43% (7 samples sent)
(10325|10381) pub36  43% (7 samples sent)
(10325|10365) pub32  50% (8 samples sent)
(10325|10381) pub36  50% (8 samples sent)
(10325|10365) pub32  62% (10 samples sent)
(10325|10381) pub36  62% (10 samples sent)
(10325|10365) pub32  75% (12 samples sent)
(10325|10371) pub38<-match found! before write for 01030000.7e68ad26.00000026.00000102(601b65ab)
(10325|10380) pub37  waiting for acks returned
(10325|10380) pub37<-delete_contained_entities
(10325|10365) pub32  81% (13 samples sent)
(10325|10365) pub32  93% (15 samples sent)
(10325|10365) pub32  100% (16 samples sent)
(10325|10365) pub32  waiting for acks
(10325|10368) pub47  writer id: 01030000.7e68ad26.00000031.00000102(b2db2e39)
(10325|10368) pub47->started
(10325|10368) pub47->wait_match() before write for 01030000.7e68ad26.00000031.00000102(b2db2e39)
(10325|10372) pub39<-match found! before write for 01030000.7e68ad26.00000027.00000102(5d7b4c1b)
(10325|10372) pub39  12% (2 samples sent)
(10325|10381) pub36  75% (12 samples sent)
(10325|10381) pub36  81% (13 samples sent)
(10325|10372) pub39  25% (4 samples sent)
(10325|10372) pub39  31% (5 samples sent)
(10325|10387) pub34<-match found! before write for 01030000.7e68ad26.0000002b.00000102(988ba11a)
(10325|10381) pub36  93% (15 samples sent)
(10325|10387) pub34  12% (2 samples sent)
(10325|10387) pub34  25% (4 samples sent)
(10325|10387) pub34  31% (5 samples sent)
(10325|10387) pub34  43% (7 samples sent)
(10325|10387) pub34  50% (8 samples sent)
(10325|10387) pub34  62% (10 samples sent)
(10325|10387) pub34  75% (12 samples sent)
(10325|10387) pub34  81% (13 samples sent)
(10325|10387) pub34  93% (15 samples sent)
(10325|10387) pub34  100% (16 samples sent)
(10325|10387) pub34  waiting for acks
(10325|10381) pub36  100% (16 samples sent)
(10325|10381) pub36  waiting for acks
(10325|10371) pub38  12% (2 samples sent)
(10325|10371) pub38  25% (4 samples sent)
(10325|10378) pub33  waiting for acks returned
(10325|10378) pub33<-delete_contained_entities
(10325|10361) pub26  waiting for acks returned
(10325|10361) pub26<-delete_contained_entities
(10325|10371) pub38  31% (5 samples sent)
(10325|10384) pub35<-match found! before write for 01030000.7e68ad26.0000002a.00000102(a5eb88aa)
(10325|10389) pub43<-match found! before write for 01030000.7e68ad26.0000002d.00000102(17cb54ba)
(10325|10376) pub41<-match found! before write for 01030000.7e68ad26.00000029.00000102(e24bf27a)
(10325|10371) pub38  43% (7 samples sent)
(10325|10384) pub35  12% (2 samples sent)
(10325|10389) pub43  12% (2 samples sent)
(10325|10384) pub35  25% (4 samples sent)
(10325|10389) pub43  25% (4 samples sent)
(10325|10384) pub35  31% (5 samples sent)
(10325|10389) pub43  31% (5 samples sent)
(10325|10372) pub39  43% (7 samples sent)
(10325|10386) pub46<-match found! before write for 01030000.7e68ad26.00000030.00000102(8fbb0789)
(10325|10386) pub46  12% (2 samples sent)
(10325|10386) pub46  25% (4 samples sent)
(10325|10386) pub46  31% (5 samples sent)
(10325|10386) pub46  43% (7 samples sent)
(10325|10386) pub46  50% (8 samples sent)
(10325|10386) pub46  62% (10 samples sent)
(10325|10386) pub46  75% (12 samples sent)
(10325|10386) pub46  81% (13 samples sent)
(10325|10386) pub46  93% (15 samples sent)
(10325|10386) pub46  100% (16 samples sent)
(10325|10386) pub46  waiting for acks
(10325|10384) pub35  43% (7 samples sent)
(10325|10384) pub35  50% (8 samples sent)
(10325|10384) pub35  62% (10 samples sent)
(10325|10384) pub35  75% (12 samples sent)
(10325|10384) pub35  81% (13 samples sent)
(10325|10384) pub35  93% (15 samples sent)
(10325|10389) pub43  43% (7 samples sent)
(10325|10376) pub41  12% (2 samples sent)
(10325|10389) pub43  50% (8 samples sent)
(10325|10376) pub41  25% (4 samples sent)
(10325|10376) pub41  31% (5 samples sent)
(10325|10371) pub38  50% (8 samples sent)
(10325|10371) pub38  62% (10 samples sent)
(10325|10371) pub38  75% (12 samples sent)
(10325|10371) pub38  81% (13 samples sent)
(10325|10371) pub38  93% (15 samples sent)
(10325|10371) pub38  100% (16 samples sent)
(10325|10371) pub38  waiting for acks
(10325|10389) pub43  62% (10 samples sent)
(10325|10389) pub43  75% (12 samples sent)
(10325|10389) pub43  81% (13 samples sent)
(10325|10389) pub43  93% (15 samples sent)
(10325|10389) pub43  100% (16 samples sent)
(10325|10389) pub43  waiting for acks
(10325|10372) pub39  50% (8 samples sent)
(10325|10372) pub39  62% (10 samples sent)
(10325|10372) pub39  75% (12 samples sent)
(10325|10372) pub39  81% (13 samples sent)
(10325|10372) pub39  93% (15 samples sent)
(10325|10372) pub39  100% (16 samples sent)
(10325|10372) pub39  waiting for acks
(10325|10384) pub35  100% (16 samples sent)
(10325|10384) pub35  waiting for acks
(10325|10376) pub41  43% (7 samples sent)
(10325|10376) pub41  50% (8 samples sent)
(10325|10376) pub41  62% (10 samples sent)
(10325|10376) pub41  75% (12 samples sent)
(10325|10376) pub41  81% (13 samples sent)
(10325|10376) pub41  93% (15 samples sent)
(10325|10376) pub41  100% (16 samples sent)
(10325|10376) pub41  waiting for acks
(10325|10375) pub62  writer id: 01030000.7e68ad26.00000040.00000102(7669e746)
(10325|10375) pub62->started
(10325|10375) pub62->wait_match() before write for 01030000.7e68ad26.00000040.00000102(7669e746)
(10325|10391) pub49  writer id: 01030000.7e68ad26.00000033.00000102(c81b7d59)
(10325|10391) pub49->started
(10325|10391) pub49->wait_match() before write for 01030000.7e68ad26.00000033.00000102(c81b7d59)
(10325|10370) pub31  writer id: 01030000.7e68ad26.00000025.00000102(27bb1f7b)
(10325|10370) pub31->started
(10325|10370) pub31->wait_match() before write for 01030000.7e68ad26.00000025.00000102(27bb1f7b)
(10325|10393) pub53  writer id: 01030000.7e68ad26.00000037.00000102(3d9bdb99)
(10325|10393) pub53->started
(10325|10393) pub53->wait_match() before write for 01030000.7e68ad26.00000037.00000102(3d9bdb99)
(10325|10369) pub42  writer id: 01030000.7e68ad26.0000002c.00000102(2aab7d0a)
(10325|10369) pub42->started
(10325|10369) pub42->wait_match() before write for 01030000.7e68ad26.0000002c.00000102(2aab7d0a)
(10325|10333)  sub 60% (615 samples received)
(10325|10379) pub58<-match found! before write for 01030000.7e68ad26.0000003c.00000102(4a4bea88)
(10325|10379) pub58  12% (2 samples sent)
(10325|10379) pub58  25% (4 samples sent)
(10325|10379) pub58  31% (5 samples sent)
(10325|10379) pub58  43% (7 samples sent)
(10325|10379) pub58  50% (8 samples sent)
(10325|10379) pub58  62% (10 samples sent)
(10325|10379) pub58  75% (12 samples sent)
(10325|10379) pub58  81% (13 samples sent)
(10325|10379) pub58  93% (15 samples sent)
(10325|10379) pub58  100% (16 samples sent)
(10325|10379) pub58  waiting for acks
(10325|10368) pub47<-match found! before write for 01030000.7e68ad26.00000031.00000102(b2db2e39)
(10325|10368) pub47  12% (2 samples sent)
(10325|10368) pub47  25% (4 samples sent)
(10325|10368) pub47  31% (5 samples sent)
(10325|10368) pub47  43% (7 samples sent)
(10325|10368) pub47  50% (8 samples sent)
(10325|10368) pub47  62% (10 samples sent)
(10325|10368) pub47  75% (12 samples sent)
(10325|10368) pub47  81% (13 samples sent)
(10325|10368) pub47  93% (15 samples sent)
(10325|10368) pub47  100% (16 samples sent)
(10325|10368) pub47  waiting for acks
(10325|10353) pub29  waiting for acks returned
(10325|10353) pub29<-delete_contained_entities
(10325|10375) pub62<-match found! before write for 01030000.7e68ad26.00000040.00000102(7669e746)
(10325|10375) pub62  12% (2 samples sent)
(10325|10377) pub59  writer id: 01030000.7e68ad26.0000003d.00000102(772bc338)
(10325|10377) pub59->started
(10325|10377) pub59->wait_match() before write for 01030000.7e68ad26.0000003d.00000102(772bc338)
(10325|10375) pub62  25% (4 samples sent)
(10325|10375) pub62  31% (5 samples sent)
(10325|10375) pub62  43% (7 samples sent)
(10325|10375) pub62  50% (8 samples sent)
(10325|10375) pub62  62% (10 samples sent)
(10325|10375) pub62  75% (12 samples sent)
(10325|10375) pub62  81% (13 samples sent)
(10325|10375) pub62  93% (15 samples sent)
(10325|10375) pub62  100% (16 samples sent)
(10325|10375) pub62  waiting for acks
(10325|10391) pub49<-match found! before write for 01030000.7e68ad26.00000033.00000102(c81b7d59)
(10325|10365) pub32  waiting for acks returned
(10325|10365) pub32<-delete_contained_entities
(10325|10370) pub31<-match found! before write for 01030000.7e68ad26.00000025.00000102(27bb1f7b)
(10325|10391) pub49  12% (2 samples sent)
(10325|10391) pub49  25% (4 samples sent)
(10325|10393) pub53<-match found! before write for 01030000.7e68ad26.00000037.00000102(3d9bdb99)
(10325|10369) pub42<-match found! before write for 01030000.7e68ad26.0000002c.00000102(2aab7d0a)
(10325|10369) pub42  12% (2 samples sent)
(10325|10369) pub42  25% (4 samples sent)
(10325|10369) pub42  31% (5 samples sent)
(10325|10393) pub53  12% (2 samples sent)
(10325|10369) pub42  43% (7 samples sent)
(10325|10381) pub36  waiting for acks returned
(10325|10381) pub36<-delete_contained_entities
(10325|10387) pub34  waiting for acks returned
(10325|10387) pub34<-delete_contained_entities
(10325|10370) pub31  12% (2 samples sent)
(10325|10393) pub53  25% (4 samples sent)
(10325|10370) pub31  25% (4 samples sent)
(10325|10393) pub53  31% (5 samples sent)
(10325|10370) pub31  31% (5 samples sent)
(10325|10393) pub53  43% (7 samples sent)
(10325|10393) pub53  50% (8 samples sent)
(10325|10391) pub49  31% (5 samples sent)
(10325|10393) pub53  62% (10 samples sent)
(10325|10370) pub31  43% (7 samples sent)
(10325|10370) pub31  50% (8 samples sent)
(10325|10393) pub53  75% (12 samples sent)
(10325|10391) pub49  43% (7 samples sent)
(10325|10370) pub31  62% (10 samples sent)
(10325|10391) pub49  50% (8 samples sent)
(10325|10370) pub31  75% (12 samples sent)
(10325|10391) pub49  62% (10 samples sent)
(10325|10370) pub31  81% (13 samples sent)
(10325|10391) pub49  75% (12 samples sent)
(10325|10391) pub49  81% (13 samples sent)
(10325|10391) pub49  93% (15 samples sent)
(10325|10391) pub49  100% (16 samples sent)
(10325|10391) pub49  waiting for acks
(10325|10393) pub53  81% (13 samples sent)
(10325|10393) pub53  93% (15 samples sent)
(10325|10393) pub53  100% (16 samples sent)
(10325|10393) pub53  waiting for acks
(10325|10397) pub57  writer id: 01030000.7e68ad26.0000003b.00000102(f86b3698)
(10325|10397) pub57->started
(10325|10397) pub57->wait_match() before write for 01030000.7e68ad26.0000003b.00000102(f86b3698)
(10325|10369) pub42  50% (8 samples sent)
(10325|10369) pub42  62% (10 samples sent)
(10325|10369) pub42  75% (12 samples sent)
(10325|10369) pub42  81% (13 samples sent)
(10325|10369) pub42  93% (15 samples sent)
(10325|10369) pub42  100% (16 samples sent)
(10325|10369) pub42  waiting for acks
(10325|10370) pub31  93% (15 samples sent)
(10325|10370) pub31  100% (16 samples sent)
(10325|10370) pub31  waiting for acks
(10325|10394) pub48  writer id: 01030000.7e68ad26.00000032.00000102(f57b54e9)
(10325|10394) pub48->started
(10325|10394) pub48->wait_match() before write for 01030000.7e68ad26.00000032.00000102(f57b54e9)
(10325|10388) pub54  writer id: 01030000.7e68ad26.00000038.00000102(bfcb4c48)
(10325|10388) pub54->started
(10325|10388) pub54->wait_match() before write for 01030000.7e68ad26.00000038.00000102(bfcb4c48)
(10325|10382) pub61  writer id: 01030000.7e68ad26.0000003f.00000102(0deb9058)
(10325|10382) pub61->started
(10325|10382) pub61->wait_match() before write for 01030000.7e68ad26.0000003f.00000102(0deb9058)
(10325|10390) pub52  writer id: 01030000.7e68ad26.00000036.00000102(00fbf229)
(10325|10390) pub52->started
(10325|10390) pub52->wait_match() before write for 01030000.7e68ad26.00000036.00000102(00fbf229)
(10325|10373) pub60  writer id: 01030000.7e68ad26.0000003e.00000102(308bb9e8)
(10325|10373) pub60->started
(10325|10373) pub60->wait_match() before write for 01030000.7e68ad26.0000003e.00000102(308bb9e8)
(10325|10333)  sub 70% (717 samples received)
(10325|10377) pub59<-match found! before write for 01030000.7e68ad26.0000003d.00000102(772bc338)
(10325|10377) pub59  12% (2 samples sent)
(10325|10377) pub59  25% (4 samples sent)
(10325|10377) pub59  31% (5 samples sent)
(10325|10377) pub59  43% (7 samples sent)
(10325|10377) pub59  50% (8 samples sent)
(10325|10377) pub59  62% (10 samples sent)
(10325|10377) pub59  75% (12 samples sent)
(10325|10377) pub59  81% (13 samples sent)
(10325|10377) pub59  93% (15 samples sent)
(10325|10377) pub59  100% (16 samples sent)
(10325|10377) pub59  waiting for acks
(10325|10397) pub57<-match found! before write for 01030000.7e68ad26.0000003b.00000102(f86b3698)
(10325|10386) pub46  waiting for acks returned
(10325|10386) pub46<-delete_contained_entities
(10325|10397) pub57  12% (2 samples sent)
(10325|10394) pub48<-match found! before write for 01030000.7e68ad26.00000032.00000102(f57b54e9)
(10325|10388) pub54<-match found! before write for 01030000.7e68ad26.00000038.00000102(bfcb4c48)
(10325|10382) pub61<-match found! before write for 01030000.7e68ad26.0000003f.00000102(0deb9058)
(10325|10373) pub60<-match found! before write for 01030000.7e68ad26.0000003e.00000102(308bb9e8)
(10325|10390) pub52<-match found! before write for 01030000.7e68ad26.00000036.00000102(00fbf229)
(10325|10389) pub43  waiting for acks returned
(10325|10389) pub43<-delete_contained_entities
(10325|10371) pub38  waiting for acks returned
(10325|10371) pub38<-delete_contained_entities
(10325|10372) pub39  waiting for acks returned
(10325|10372) pub39<-delete_contained_entities
(10325|10384) pub35  waiting for acks returned
(10325|10384) pub35<-delete_contained_entities
(10325|10388) pub54  12% (2 samples sent)
(10325|10388) pub54  25% (4 samples sent)
(10325|10388) pub54  31% (5 samples sent)
(10325|10388) pub54  43% (7 samples sent)
(10325|10388) pub54  50% (8 samples sent)
(10325|10388) pub54  62% (10 samples sent)
(10325|10388) pub54  75% (12 samples sent)
(10325|10388) pub54  81% (13 samples sent)
(10325|10388) pub54  93% (15 samples sent)
(10325|10388) pub54  100% (16 samples sent)
(10325|10388) pub54  waiting for acks
(10325|10373) pub60  12% (2 samples sent)
(10325|10373) pub60  25% (4 samples sent)
(10325|10373) pub60  31% (5 samples sent)
(10325|10373) pub60  43% (7 samples sent)
(10325|10373) pub60  50% (8 samples sent)
(10325|10373) pub60  62% (10 samples sent)
(10325|10376) pub41  waiting for acks returned
(10325|10376) pub41<-delete_contained_entities
(10325|10375) pub62  waiting for acks returned
(10325|10375) pub62<-delete_contained_entities
(10325|10390) pub52  12% (2 samples sent)
(10325|10373) pub60  75% (12 samples sent)
(10325|10394) pub48  12% (2 samples sent)
(10325|10397) pub57  25% (4 samples sent)
(10325|10382) pub61  12% (2 samples sent)
(10325|10397) pub57  31% (5 samples sent)
(10325|10382) pub61  25% (4 samples sent)
(10325|10382) pub61  31% (5 samples sent)
(10325|10379) pub58  waiting for acks returned
(10325|10379) pub58<-delete_contained_entities
(10325|10390) pub52  25% (4 samples sent)
(10325|10394) pub48  25% (4 samples sent)
(10325|10390) pub52  31% (5 samples sent)
(10325|10394) pub48  31% (5 samples sent)
(10325|10390) pub52  43% (7 samples sent)
(10325|10394) pub48  43% (7 samples sent)
(10325|10390) pub52  50% (8 samples sent)
(10325|10394) pub48  50% (8 samples sent)
(10325|10368) pub47  waiting for acks returned
(10325|10368) pub47<-delete_contained_entities
(10325|10397) pub57  43% (7 samples sent)
(10325|10397) pub57  50% (8 samples sent)
(10325|10394) pub48  62% (10 samples sent)
(10325|10397) pub57  62% (10 samples sent)
(10325|10394) pub48  75% (12 samples sent)
(10325|10394) pub48  81% (13 samples sent)
(10325|10397) pub57  75% (12 samples sent)
(10325|10390) pub52  62% (10 samples sent)
(10325|10390) pub52  75% (12 samples sent)
(10325|10390) pub52  81% (13 samples sent)
(10325|10390) pub52  93% (15 samples sent)
(10325|10390) pub52  100% (16 samples sent)
(10325|10390) pub52  waiting for acks
(10325|10373) pub60  81% (13 samples sent)
(10325|10373) pub60  93% (15 samples sent)
(10325|10373) pub60  100% (16 samples sent)
(10325|10373) pub60  waiting for acks
(10325|10397) pub57  81% (13 samples sent)
(10325|10382) pub61  43% (7 samples sent)
(10325|10397) pub57  93% (15 samples sent)
(10325|10382) pub61  50% (8 samples sent)
(10325|10397) pub57  100% (16 samples sent)
(10325|10397) pub57  waiting for acks
(10325|10382) pub61  62% (10 samples sent)
(10325|10394) pub48  93% (15 samples sent)
(10325|10394) pub48  100% (16 samples sent)
(10325|10394) pub48  waiting for acks
(10325|10382) pub61  75% (12 samples sent)
(10325|10382) pub61  81% (13 samples sent)
(10325|10382) pub61  93% (15 samples sent)
(10325|10382) pub61  100% (16 samples sent)
(10325|10382) pub61  waiting for acks
(10325|10342) pub18  writer id: 01030000.7e68ad26.00000014.00000102(bbfa8e4d)
(10325|10342) pub18->started
(10325|10342) pub18->wait_match() before write for 01030000.7e68ad26.00000014.00000102(bbfa8e4d)
(10325|10396) pub51  writer id: 01030000.7e68ad26.00000035.00000102(475b88f9)
(10325|10396) pub51->started
(10325|10396) pub51->wait_match() before write for 01030000.7e68ad26.00000035.00000102(475b88f9)
(10325|10385) pub56  writer id: 01030000.7e68ad26.0000003a.00000102(c50b1f28)
(10325|10385) pub56->started
(10325|10385) pub56->wait_match() before write for 01030000.7e68ad26.0000003a.00000102(c50b1f28)
(10325|10392) pub50  writer id: 01030000.7e68ad26.00000034.00000102(7a3ba149)
(10325|10392) pub50->started
(10325|10392) pub50->wait_match() before write for 01030000.7e68ad26.00000034.00000102(7a3ba149)
(10325|10383) pub45  writer id: 01030000.7e68ad26.0000002f.00000102(6d0b07da)
(10325|10383) pub45->started
(10325|10383) pub45->wait_match() before write for 01030000.7e68ad26.0000002f.00000102(6d0b07da)
(10325|10342) pub18<-match found! before write for 01030000.7e68ad26.00000014.00000102(bbfa8e4d)
(10325|10342) pub18  12% (2 samples sent)
(10325|10342) pub18  25% (4 samples sent)
(10325|10342) pub18  31% (5 samples sent)
(10325|10342) pub18  43% (7 samples sent)
(10325|10342) pub18  50% (8 samples sent)
(10325|10342) pub18  62% (10 samples sent)
(10325|10342) pub18  75% (12 samples sent)
(10325|10342) pub18  81% (13 samples sent)
(10325|10342) pub18  93% (15 samples sent)
(10325|10342) pub18  100% (16 samples sent)
(10325|10342) pub18  waiting for acks
(10325|10396) pub51<-match found! before write for 01030000.7e68ad26.00000035.00000102(475b88f9)
(10325|10396) pub51  12% (2 samples sent)
(10325|10396) pub51  25% (4 samples sent)
(10325|10396) pub51  31% (5 samples sent)
(10325|10396) pub51  43% (7 samples sent)
(10325|10396) pub51  50% (8 samples sent)
(10325|10396) pub51  62% (10 samples sent)
(10325|10396) pub51  75% (12 samples sent)
(10325|10396) pub51  81% (13 samples sent)
(10325|10396) pub51  93% (15 samples sent)
(10325|10396) pub51  100% (16 samples sent)
(10325|10396) pub51  waiting for acks
(10325|10385) pub56<-match found! before write for 01030000.7e68ad26.0000003a.00000102(c50b1f28)
(10325|10393) pub53  waiting for acks returned
(10325|10393) pub53<-delete_contained_entities
(10325|10391) pub49  waiting for acks returned
(10325|10391) pub49<-delete_contained_entities
(10325|10369) pub42  waiting for acks returned
(10325|10369) pub42<-delete_contained_entities
(10325|10385) pub56  12% (2 samples sent)
(10325|10370) pub31  waiting for acks returned
(10325|10370) pub31<-delete_contained_entities
(10325|10385) pub56  25% (4 samples sent)
(10325|10385) pub56  31% (5 samples sent)
(10325|10385) pub56  43% (7 samples sent)
(10325|10385) pub56  50% (8 samples sent)
(10325|10385) pub56  62% (10 samples sent)
(10325|10385) pub56  75% (12 samples sent)
(10325|10385) pub56  81% (13 samples sent)
(10325|10385) pub56  93% (15 samples sent)
(10325|10385) pub56  100% (16 samples sent)
(10325|10385) pub56  waiting for acks
(10325|10333)  sub 80% (820 samples received)
(10325|10392) pub50<-match found! before write for 01030000.7e68ad26.00000034.00000102(7a3ba149)
(10325|10392) pub50  12% (2 samples sent)
(10325|10392) pub50  25% (4 samples sent)
(10325|10392) pub50  31% (5 samples sent)
(10325|10392) pub50  43% (7 samples sent)
(10325|10392) pub50  50% (8 samples sent)
(10325|10392) pub50  62% (10 samples sent)
(10325|10392) pub50  75% (12 samples sent)
(10325|10392) pub50  81% (13 samples sent)
(10325|10392) pub50  93% (15 samples sent)
(10325|10392) pub50  100% (16 samples sent)
(10325|10392) pub50  waiting for acks
(10325|10383) pub45<-match found! before write for 01030000.7e68ad26.0000002f.00000102(6d0b07da)
(10325|10383) pub45  12% (2 samples sent)
(10325|10383) pub45  25% (4 samples sent)
(10325|10383) pub45  31% (5 samples sent)
(10325|10383) pub45  43% (7 samples sent)
(10325|10383) pub45  50% (8 samples sent)
(10325|10383) pub45  62% (10 samples sent)
(10325|10383) pub45  75% (12 samples sent)
(10325|10383) pub45  81% (13 samples sent)
(10325|10383) pub45  93% (15 samples sent)
(10325|10383) pub45  100% (16 samples sent)
(10325|10383) pub45  waiting for acks
(10325|10395) pub44  writer id: 01030000.7e68ad26.0000002e.00000102(506b2e6a)
(10325|10395) pub44->started
(10325|10395) pub44->wait_match() before write for 01030000.7e68ad26.0000002e.00000102(506b2e6a)
(10325|10334) pub0<-delete_participant
(10325|10333)  sub 90% (922 samples received)
(10325|10377) pub59  waiting for acks returned
(10325|10377) pub59<-delete_contained_entities
(10325|10395) pub44<-match found! before write for 01030000.7e68ad26.0000002e.00000102(506b2e6a)
(10325|10388) pub54  waiting for acks returned
(10325|10388) pub54<-delete_contained_entities
(10325|10351) pub11  writer id: 01030000.7e68ad26.00000042.00000102(0ca9b426)
(10325|10351) pub11->started
(10325|10390) pub52  waiting for acks returned
(10325|10351) pub11->wait_match() before write for 01030000.7e68ad26.00000042.00000102(0ca9b426)
(10325|10390) pub52<-delete_contained_entities
(10325|10395) pub44  12% (2 samples sent)
(10325|10395) pub44  25% (4 samples sent)
(10325|10395) pub44  31% (5 samples sent)
(10325|10395) pub44  43% (7 samples sent)
(10325|10395) pub44  50% (8 samples sent)
(10325|10395) pub44  62% (10 samples sent)
(10325|10395) pub44  75% (12 samples sent)
(10325|10395) pub44  81% (13 samples sent)
(10325|10395) pub44  93% (15 samples sent)
(10325|10395) pub44  100% (16 samples sent)
(10325|10395) pub44  waiting for acks
(10325|10373) pub60  waiting for acks returned
(10325|10373) pub60<-delete_contained_entities
(10325|10397) pub57  waiting for acks returned
(10325|10397) pub57<-delete_contained_entities
(10325|10394) pub48  waiting for acks returned
(10325|10394) pub48<-delete_contained_entities
(10325|10382) pub61  waiting for acks returned
(10325|10382) pub61<-delete_contained_entities
(10325|10339) pub2<-delete_participant
(10325|10335) pub5<-delete_participant
(10325|10337) pub4<-delete_participant
(10325|10351) pub11<-match found! before write for 01030000.7e68ad26.00000042.00000102(0ca9b426)
(10325|10396) pub51  waiting for acks returned
(10325|10396) pub51<-delete_contained_entities
(10325|10342) pub18  waiting for acks returned
(10325|10342) pub18<-delete_contained_entities
(10325|10385) pub56  waiting for acks returned
(10325|10385) pub56<-delete_contained_entities
(10325|10351) pub11  12% (2 samples sent)
(10325|10392) pub50  waiting for acks returned
(10325|10392) pub50<-delete_contained_entities
(10325|10351) pub11  25% (4 samples sent)
(10325|10351) pub11  31% (5 samples sent)
(10325|10383) pub45  waiting for acks returned
(10325|10383) pub45<-delete_contained_entities
(10325|10351) pub11  43% (7 samples sent)
(10325|10395) pub44  waiting for acks returned
(10325|10395) pub44<-delete_contained_entities
(10325|10351) pub11  50% (8 samples sent)
(10325|10351) pub11  62% (10 samples sent)
(10325|10351) pub11  75% (12 samples sent)
(10325|10351) pub11  81% (13 samples sent)
(10325|10333) sub condition_.notify_all
(10325|10325) sub condition_.wait returned
(10325|10325) sub check_received
(10325|10325) sub check_received returns 0
(10325|10325) <- PublisherService::end
(10325|10351) pub11  93% (15 samples sent)
(10325|10351) pub11  100% (16 samples sent)
(10325|10351) pub11  waiting for acks
(10325|10333) sub condition_.notify_all
(10325|10333) sub condition_.notify_all
(10325|10333) sub condition_.notify_all
(10325|10333) sub condition_.notify_all
(10325|10333) sub condition_.notify_all
(10325|10333) sub condition_.notify_all
(10325|10333) sub condition_.notify_all
(10325|10333) sub condition_.notify_all
(10325|10333) sub condition_.notify_all
(10325|10333) sub condition_.notify_all
(10325|10333) sub condition_.notify_all
(10325|10333) sub condition_.notify_all
(10325|10333) sub condition_.notify_all
(10325|10333) sub condition_.notify_all
(10325|10333)  sub 100% (1024 samples received)
(10325|10333) sub condition_.notify_all
(10325|10351) pub11  waiting for acks returned
(10325|10351) pub11<-delete_contained_entities
(10325|10336) pub1<-delete_participant
(10325|10338) pub3<-delete_participant
(10325|10348) pub7<-delete_participant
(10325|10347) pub14<-delete_participant
(10325|10343) pub8<-delete_participant
(10325|10341) pub15<-delete_participant
(10325|10346) pub6<-delete_participant
(10325|10349) pub12<-delete_participant
(10325|10350) pub9<-delete_participant
(10325|10355) pub19<-delete_participant
(10325|10359) pub25<-delete_participant
(10325|10344) pub10<-delete_participant
(10325|10345) pub13<-delete_participant
(10325|10364) pub30<-delete_participant
(10325|10340) pub17<-delete_participant
(10325|10374) pub40<-delete_participant
(10325|10367) pub63<-delete_participant
(10325|10356) pub20<-delete_participant
(10325|10366) pub55<-delete_participant
(10325|10352) pub16<-delete_participant
(10325|10360) pub21<-delete_participant
(10325|10354) pub28<-delete_participant
(10325|10362) pub27<-delete_participant
(10325|10358) pub23<-delete_participant
(10325|10363) pub24<-delete_participant
(10325|10357) pub22<-delete_participant
(10325|10380) pub37<-delete_participant
(10325|10378) pub33<-delete_participant
(10325|10361) pub26<-delete_participant
(10325|10353) pub29<-delete_participant
(10325|10365) pub32<-delete_participant
(10325|10381) pub36<-delete_participant
(10325|10387) pub34<-delete_participant
(10325|10389) pub43<-delete_participant
(10325|10371) pub38<-delete_participant
(10325|10386) pub46<-delete_participant
(10325|10372) pub39<-delete_participant
(10325|10384) pub35<-delete_participant
(10325|10376) pub41<-delete_participant
(10325|10375) pub62<-delete_participant
(10325|10379) pub58<-delete_participant
(10325|10368) pub47<-delete_participant
(10325|10393) pub53<-delete_participant
(10325|10391) pub49<-delete_participant
(10325|10369) pub42<-delete_participant
(10325|10370) pub31<-delete_participant
(10325|10377) pub59<-delete_participant
(10325|10388) pub54<-delete_participant
(10325|10390) pub52<-delete_participant
(10325|10373) pub60<-delete_participant
(10325|10397) pub57<-delete_participant
(10325|10394) pub48<-delete_participant
(10325|10382) pub61<-delete_participant
(10325|10396) pub51<-delete_participant
(10325|10342) pub18<-delete_participant
(10325|10385) pub56<-delete_participant
(10325|10392) pub50<-delete_participant
(10325|10383) pub45<-delete_participant
(10325|10395) pub44<-delete_participant
(10325|10351) pub11<-delete_participant
(10325|10325) <- PublisherService::~PublisherService
(10325|10325) <- Subscriber delete_contained_entities
(10325|10325) <- Subscriber delete_participant
(10325|10331) WARNING: TransportReceiveStrategy::~TransportReceiveStrategy() - terminating with 21 unprocessed bytes.
(10325|10325) <- Subscriber::~Subscriber
(10325|10325) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl aggressive Time:3s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl single rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1 -n 1 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 10399 started at 2023-04-19 14:16:57
(10399|10399) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(10399|10399) -> Thrasher started
(10399|10399) -> Subscriber::Subscriber
(10399|10399)    Subscriber reader id: 0103000c.297a35f2.289f361b.00000007(0ebfe88e)
(10399|10399) -> PublisherService::PublisherService
(10399|10399) -> PublisherService::start (1 threads)
(10399|10399) sub wait_received 0:1
(10399|10405) pub0->transport cfg_0
(10399|10405) pub0  writer id: 0103000c.297a35f2.289f361c.00000002(ccf5c011)
(10399|10405) pub0->started
(10399|10405) pub0->wait_match() before write for 0103000c.297a35f2.289f361c.00000002(ccf5c011)
(10399|10405) pub0<-match found! before write for 0103000c.297a35f2.289f361c.00000002(ccf5c011)
(10399|10405) pub0  100% (1 samples sent)
(10399|10405) pub0  waiting for acks
(10399|10404)  sub 100% (1 samples received)
(10399|10404) sub condition_.notify_all
(10399|10399) sub condition_.wait returned
(10399|10399) sub check_received
(10399|10399) sub check_received returns 0
(10399|10399) <- PublisherService::end
(10399|10405) pub0  waiting for acks returned
(10399|10405) pub0<-delete_contained_entities
(10399|10405) pub0<-delete_participant
(10399|10399) <- PublisherService::~PublisherService
(10399|10399) <- Subscriber delete_contained_entities
(10399|10399) <- Subscriber delete_participant
(10399|10399) <- Subscriber::~Subscriber
(10399|10399) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl single rtps Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl double rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 2 -s 1 -n 2 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 10411 started at 2023-04-19 14:16:58
(10411|10411) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(10411|10411) -> Thrasher started
(10411|10411) -> Subscriber::Subscriber
(10411|10411)    Subscriber reader id: 0103000c.297a35f2.28abdfad.00000007(fc5fde31)
(10411|10411) -> PublisherService::PublisherService
(10411|10411) -> PublisherService::start (2 threads)
(10411|10411) sub wait_received 0:2
(10411|10418) pub1->transport cfg_1
(10411|10417) pub0->transport cfg_0
(10411|10417) pub0  writer id: 0103000c.297a35f2.28abdfae.00000002(cb95506e)
(10411|10417) pub0->started
(10411|10417) pub0->wait_match() before write for 0103000c.297a35f2.28abdfae.00000002(cb95506e)
(10411|10418) pub1  writer id: 0103000c.297a35f2.28abdfaf.00000002(f6f579de)
(10411|10418) pub1->started
(10411|10418) pub1->wait_match() before write for 0103000c.297a35f2.28abdfaf.00000002(f6f579de)
(10411|10417) pub0<-match found! before write for 0103000c.297a35f2.28abdfae.00000002(cb95506e)
(10411|10418) pub1<-match found! before write for 0103000c.297a35f2.28abdfaf.00000002(f6f579de)
(10411|10417) pub0  100% (1 samples sent)
(10411|10418) pub1  100% (1 samples sent)
(10411|10418) pub1  waiting for acks
(10411|10417) pub0  waiting for acks
(10411|10416)  sub 50% (1 samples received)
(10411|10418) pub1  waiting for acks returned
(10411|10418) pub1<-delete_contained_entities
(10411|10416)  sub 100% (2 samples received)
(10411|10416) sub condition_.notify_all
(10411|10411) sub condition_.wait returned
(10411|10411) sub check_received
(10411|10411) sub check_received returns 0
(10411|10411) <- PublisherService::end
(10411|10418) pub1<-delete_participant
(10411|10417) pub0  waiting for acks returned
(10411|10417) pub0<-delete_contained_entities
(10411|10417) pub0<-delete_participant
(10411|10411) <- PublisherService::~PublisherService
(10411|10411) <- Subscriber delete_contained_entities
(10411|10411) <- Subscriber delete_participant
(10411|10411) <- Subscriber::~Subscriber
(10411|10411) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl double rtps Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl triangle rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 3 -s 3 -n 9 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 10428 started at 2023-04-19 14:16:59
(10428|10428) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(10428|10428) -> Thrasher started
(10428|10428) -> Subscriber::Subscriber
(10428|10428)    Subscriber reader id: 0103000c.297a35f2.28bca2c7.00000007(3a180d82)
(10428|10428) -> PublisherService::PublisherService
(10428|10428) -> PublisherService::start (3 threads)
(10428|10428) sub wait_received 0:3
(10428|10434) pub0->transport cfg_0
(10428|10436) pub1->transport cfg_1
(10428|10435) pub2->transport cfg_2
(10428|10434) pub0  writer id: 0103000c.297a35f2.28bca2c8.00000002(c8226edc)
(10428|10434) pub0->started
(10428|10434) pub0->wait_match() before write for 0103000c.297a35f2.28bca2c8.00000002(c8226edc)
(10428|10436) pub1  writer id: 0103000c.297a35f2.28bca2c9.00000002(f542476c)
(10428|10436) pub1->started
(10428|10436) pub1->wait_match() before write for 0103000c.297a35f2.28bca2c9.00000002(f542476c)
(10428|10435) pub2  writer id: 0103000c.297a35f2.28bca2ca.00000002(b2e23dbc)
(10428|10435) pub2->started
(10428|10435) pub2->wait_match() before write for 0103000c.297a35f2.28bca2ca.00000002(b2e23dbc)
(10428|10436) pub1<-match found! before write for 0103000c.297a35f2.28bca2c9.00000002(f542476c)
(10428|10436) pub1  33% (1 samples sent)
(10428|10436) pub1  66% (2 samples sent)
(10428|10436) pub1  100% (3 samples sent)
(10428|10436) pub1  waiting for acks
(10428|10435) pub2<-match found! before write for 0103000c.297a35f2.28bca2ca.00000002(b2e23dbc)
(10428|10435) pub2  33% (1 samples sent)
(10428|10435) pub2  66% (2 samples sent)
(10428|10435) pub2  100% (3 samples sent)
(10428|10435) pub2  waiting for acks
(10428|10433)  sub 11% (1 samples received)
(10428|10433)  sub 22% (2 samples received)
(10428|10433)  sub 33% (3 samples received)
(10428|10435) pub2  waiting for acks returned
(10428|10435) pub2<-delete_contained_entities
(10428|10434) pub0<-match found! before write for 0103000c.297a35f2.28bca2c8.00000002(c8226edc)
(10428|10434) pub0  33% (1 samples sent)
(10428|10434) pub0  66% (2 samples sent)
(10428|10434) pub0  100% (3 samples sent)
(10428|10434) pub0  waiting for acks
(10428|10435) pub2<-delete_participant
(10428|10433)  sub 44% (4 samples received)
(10428|10433)  sub 55% (5 samples received)
(10428|10433)  sub 66% (6 samples received)
(10428|10434) pub0  waiting for acks returned
(10428|10434) pub0<-delete_contained_entities
(10428|10433)  sub 77% (7 samples received)
(10428|10433) sub condition_.notify_all
(10428|10428) sub condition_.wait returned
(10428|10428) sub check_received
(10428|10428) sub check_received returns 0
(10428|10428) <- PublisherService::end
(10428|10433)  sub 88% (8 samples received)
(10428|10433) sub condition_.notify_all
(10428|10433)  sub 100% (9 samples received)
(10428|10433) sub condition_.notify_all
(10428|10436) pub1  waiting for acks returned
(10428|10436) pub1<-delete_contained_entities
(10428|10434) pub0<-delete_participant
(10428|10436) pub1<-delete_participant
(10428|10428) <- PublisherService::~PublisherService
(10428|10428) <- Subscriber delete_contained_entities
(10428|10428) <- Subscriber delete_participant
(10428|10428) <- Subscriber::~Subscriber
(10428|10428) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl triangle rtps Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl default rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1024 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 10452 started at 2023-04-19 14:17:00
(10452|10452) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(10452|10452) -> Thrasher started
(10452|10452) -> Subscriber::Subscriber
(10452|10452)    Subscriber reader id: 0103000c.297a35f2.28d40a4f.00000007(f69867d0)
(10452|10452) -> PublisherService::PublisherService
(10452|10452) -> PublisherService::start (1 threads)
(10452|10452) sub wait_received 0:1
(10452|10458) pub0->transport cfg_0
(10452|10458) pub0  writer id: 0103000c.297a35f2.28d40a50.00000002(6442930c)
(10452|10458) pub0->started
(10452|10458) pub0->wait_match() before write for 0103000c.297a35f2.28d40a50.00000002(6442930c)
(10452|10458) pub0<-match found! before write for 0103000c.297a35f2.28d40a50.00000002(6442930c)
(10452|10457) sub condition_.notify_all
(10452|10452) sub condition_.wait returned
(10452|10452) sub check_received
(10452|10452) sub check_received returns 0
(10452|10452) <- PublisherService::end
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10458) pub0  10% (103 samples sent)
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457)  sub 10% (103 samples received)
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10458) pub0  20% (205 samples sent)
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10458) pub0  30% (308 samples sent)
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457)  sub 20% (205 samples received)
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10458) pub0  40% (410 samples sent)
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10458) pub0  50% (512 samples sent)
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457)  sub 30% (308 samples received)
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10458) pub0  60% (615 samples sent)
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457)  sub 40% (410 samples received)
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10458) pub0  70% (717 samples sent)
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10458) pub0  80% (820 samples sent)
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457)  sub 50% (512 samples received)
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10458) pub0  90% (922 samples sent)
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10458) pub0  100% (1024 samples sent)
(10452|10458) pub0  waiting for acks
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457)  sub 60% (615 samples received)
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457)  sub 70% (717 samples received)
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457)  sub 80% (820 samples received)
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457)  sub 90% (922 samples received)
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457) sub condition_.notify_all
(10452|10457)  sub 100% (1024 samples received)
(10452|10457) sub condition_.notify_all
(10452|10458) pub0  waiting for acks returned
(10452|10458) pub0<-delete_contained_entities
(10452|10458) pub0<-delete_participant
(10452|10452) <- PublisherService::~PublisherService
(10452|10452) <- Subscriber delete_contained_entities
(10452|10452) <- Subscriber delete_participant
(10452|10452) <- Subscriber::~Subscriber
(10452|10452) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl default rtps Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl low rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 8 -s 128 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 10464 started at 2023-04-19 14:17:02
(10464|10464) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(10464|10464) -> Thrasher started
(10464|10464) -> Subscriber::Subscriber
(10464|10464)    Subscriber reader id: 0103000c.297a35f2.28e048db.00000007(cca2cc26)
(10464|10464) -> PublisherService::PublisherService
(10464|10464) -> PublisherService::start (8 threads)
(10464|10464) sub wait_received 0:8
(10464|10470) pub0->transport cfg_0
(10464|10471) pub1->transport cfg_1
(10464|10472) pub2->transport cfg_2
(10464|10475) pub6->transport cfg_6
(10464|10476) pub5->transport cfg_5
(10464|10477) pub7->transport cfg_7
(10464|10474) pub4->transport cfg_4
(10464|10473) pub3->transport cfg_3
(10464|10473) pub3  writer id: 0103000c.297a35f2.28e048e0.00000002(6a39b13e)
(10464|10473) pub3->started
(10464|10473) pub3->wait_match() before write for 0103000c.297a35f2.28e048e0.00000002(6a39b13e)
(10464|10471) pub1  writer id: 0103000c.297a35f2.28e048de.00000002(7428b7d9)
(10464|10471) pub1->started
(10464|10471) pub1->wait_match() before write for 0103000c.297a35f2.28e048de.00000002(7428b7d9)
(10464|10477) pub7  writer id: 0103000c.297a35f2.28e048e3.00000002(2d99cbee)
(10464|10477) pub7->started
(10464|10477) pub7->wait_match() before write for 0103000c.297a35f2.28e048e3.00000002(2d99cbee)
(10464|10476) pub5  writer id: 0103000c.297a35f2.28e048e2.00000002(10f9e25e)
(10464|10476) pub5->started
(10464|10476) pub5->wait_match() before write for 0103000c.297a35f2.28e048e2.00000002(10f9e25e)
(10464|10472) pub2  writer id: 0103000c.297a35f2.28e048dd.00000002(3388cd09)
(10464|10472) pub2->started
(10464|10472) pub2->wait_match() before write for 0103000c.297a35f2.28e048dd.00000002(3388cd09)
(10464|10474) pub4  writer id: 0103000c.297a35f2.28e048df.00000002(49489e69)
(10464|10474) pub4->started
(10464|10474) pub4->wait_match() before write for 0103000c.297a35f2.28e048df.00000002(49489e69)
(10464|10470) pub0  writer id: 0103000c.297a35f2.28e048dc.00000002(0ee8e4b9)
(10464|10470) pub0->started
(10464|10470) pub0->wait_match() before write for 0103000c.297a35f2.28e048dc.00000002(0ee8e4b9)
(10464|10475) pub6  writer id: 0103000c.297a35f2.28e048e1.00000002(5759988e)
(10464|10475) pub6->started
(10464|10475) pub6->wait_match() before write for 0103000c.297a35f2.28e048e1.00000002(5759988e)
(10464|10476) pub5<-match found! before write for 0103000c.297a35f2.28e048e2.00000002(10f9e25e)
(10464|10470) pub0<-match found! before write for 0103000c.297a35f2.28e048dc.00000002(0ee8e4b9)
(10464|10477) pub7<-match found! before write for 0103000c.297a35f2.28e048e3.00000002(2d99cbee)
(10464|10470) pub0  10% (13 samples sent)
(10464|10474) pub4<-match found! before write for 0103000c.297a35f2.28e048df.00000002(49489e69)
(10464|10472) pub2<-match found! before write for 0103000c.297a35f2.28e048dd.00000002(3388cd09)
(10464|10476) pub5  10% (13 samples sent)
(10464|10477) pub7  10% (13 samples sent)
(10464|10474) pub4  10% (13 samples sent)
(10464|10472) pub2  10% (13 samples sent)
(10464|10475) pub6<-match found! before write for 0103000c.297a35f2.28e048e1.00000002(5759988e)
(10464|10470) pub0  20% (26 samples sent)
(10464|10476) pub5  20% (26 samples sent)
(10464|10472) pub2  20% (26 samples sent)
(10464|10475) pub6  10% (13 samples sent)
(10464|10476) pub5  30% (39 samples sent)
(10464|10474) pub4  20% (26 samples sent)
(10464|10471) pub1<-match found! before write for 0103000c.297a35f2.28e048de.00000002(7428b7d9)
(10464|10472) pub2  30% (39 samples sent)
(10464|10473) pub3<-match found! before write for 0103000c.297a35f2.28e048e0.00000002(6a39b13e)
(10464|10477) pub7  20% (26 samples sent)
(10464|10477) pub7  30% (39 samples sent)
(10464|10471) pub1  10% (13 samples sent)
(10464|10474) pub4  30% (39 samples sent)
(10464|10473) pub3  10% (13 samples sent)
(10464|10470) pub0  30% (39 samples sent)
(10464|10472) pub2  40% (52 samples sent)
(10464|10475) pub6  20% (26 samples sent)
(10464|10476) pub5  40% (52 samples sent)
(10464|10470) pub0  40% (52 samples sent)
(10464|10477) pub7  40% (52 samples sent)
(10464|10476) pub5  50% (64 samples sent)
(10464|10474) pub4  40% (52 samples sent)
(10464|10475) pub6  30% (39 samples sent)
(10464|10470) pub0  50% (64 samples sent)
(10464|10472) pub2  50% (64 samples sent)
(10464|10477) pub7  50% (64 samples sent)
(10464|10473) pub3  20% (26 samples sent)
(10464|10471) pub1  20% (26 samples sent)
(10464|10475) pub6  40% (52 samples sent)
(10464|10470) pub0  60% (77 samples sent)
(10464|10474) pub4  50% (64 samples sent)
(10464|10477) pub7  60% (77 samples sent)
(10464|10472) pub2  60% (77 samples sent)
(10464|10476) pub5  60% (77 samples sent)
(10464|10471) pub1  30% (39 samples sent)
(10464|10473) pub3  30% (39 samples sent)
(10464|10474) pub4  60% (77 samples sent)
(10464|10470) pub0  70% (90 samples sent)
(10464|10475) pub6  50% (64 samples sent)
(10464|10476) pub5  70% (90 samples sent)
(10464|10477) pub7  70% (90 samples sent)
(10464|10472) pub2  70% (90 samples sent)
(10464|10471) pub1  40% (52 samples sent)
(10464|10473) pub3  40% (52 samples sent)
(10464|10474) pub4  70% (90 samples sent)
(10464|10475) pub6  60% (77 samples sent)
(10464|10476) pub5  80% (103 samples sent)
(10464|10473) pub3  50% (64 samples sent)
(10464|10473) pub3  60% (77 samples sent)
(10464|10475) pub6  70% (90 samples sent)
(10464|10473) pub3  70% (90 samples sent)
(10464|10476) pub5  90% (116 samples sent)
(10464|10476) pub5  100% (128 samples sent)
(10464|10476) pub5  waiting for acks
(10464|10477) pub7  80% (103 samples sent)
(10464|10474) pub4  80% (103 samples sent)
(10464|10477) pub7  90% (116 samples sent)
(10464|10474) pub4  90% (116 samples sent)
(10464|10471) pub1  50% (64 samples sent)
(10464|10472) pub2  80% (103 samples sent)
(10464|10470) pub0  80% (103 samples sent)
(10464|10470) pub0  90% (116 samples sent)
(10464|10475) pub6  80% (103 samples sent)
(10464|10473) pub3  80% (103 samples sent)
(10464|10472) pub2  90% (116 samples sent)
(10464|10471) pub1  60% (77 samples sent)
(10464|10477) pub7  100% (128 samples sent)
(10464|10477) pub7  waiting for acks
(10464|10474) pub4  100% (128 samples sent)
(10464|10474) pub4  waiting for acks
(10464|10475) pub6  90% (116 samples sent)
(10464|10472) pub2  100% (128 samples sent)
(10464|10472) pub2  waiting for acks
(10464|10471) pub1  70% (90 samples sent)
(10464|10475) pub6  100% (128 samples sent)
(10464|10475) pub6  waiting for acks
(10464|10471) pub1  80% (103 samples sent)
(10464|10473) pub3  90% (116 samples sent)
(10464|10473) pub3  100% (128 samples sent)
(10464|10473) pub3  waiting for acks
(10464|10470) pub0  100% (128 samples sent)
(10464|10470) pub0  waiting for acks
(10464|10471) pub1  90% (116 samples sent)
(10464|10469)  sub 10% (103 samples received)
(10464|10471) pub1  100% (128 samples sent)
(10464|10471) pub1  waiting for acks
(10464|10469)  sub 20% (205 samples received)
(10464|10469)  sub 30% (308 samples received)
(10464|10469)  sub 40% (410 samples received)
(10464|10469)  sub 50% (512 samples received)
(10464|10477) pub7  waiting for acks returned
(10464|10477) pub7<-delete_contained_entities
(10464|10477) pub7<-delete_participant
(10464|10469)  sub 60% (615 samples received)
(10464|10469) sub condition_.notify_all
(10464|10464) sub condition_.wait returned
(10464|10464) sub check_received
(10464|10464) sub check_received returns 0
(10464|10464) <- PublisherService::end
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469)  sub 70% (717 samples received)
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10472) pub2  waiting for acks returned
(10464|10472) pub2<-delete_contained_entities
(10464|10475) pub6  waiting for acks returned
(10464|10475) pub6<-delete_contained_entities
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469)  sub 80% (820 samples received)
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469)  sub 90% (922 samples received)
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10470) pub0  waiting for acks returned
(10464|10470) pub0<-delete_contained_entities
(10464|10476) pub5  waiting for acks returned
(10464|10476) pub5<-delete_contained_entities
(10464|10469) sub condition_.notify_all
(10464|10474) pub4  waiting for acks returned
(10464|10474) pub4<-delete_contained_entities
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469) sub condition_.notify_all
(10464|10469)  sub 100% (1024 samples received)
(10464|10469) sub condition_.notify_all
(10464|10471) pub1  waiting for acks returned
(10464|10471) pub1<-delete_contained_entities
(10464|10473) pub3  waiting for acks returned
(10464|10473) pub3<-delete_contained_entities
(10464|10472) pub2<-delete_participant
(10464|10475) pub6<-delete_participant
(10464|10470) pub0<-delete_participant
(10464|10476) pub5<-delete_participant
(10464|10474) pub4<-delete_participant
(10464|10471) pub1<-delete_participant
(10464|10473) pub3<-delete_participant
(10464|10464) <- PublisherService::~PublisherService
(10464|10464) <- Subscriber delete_contained_entities
(10464|10464) <- Subscriber delete_participant
(10464|10464) <- Subscriber::~Subscriber
(10464|10464) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl low rtps Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl medium rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 16 -s 64 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 10511 started at 2023-04-19 14:17:04
(10511|10511) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(10511|10511) -> Thrasher started
(10511|10511) -> Subscriber::Subscriber
(10511|10511)    Subscriber reader id: 0103000c.297a35f2.290f4aa5.00000007(fecae5e3)
(10511|10511) -> PublisherService::PublisherService
(10511|10511) -> PublisherService::start (16 threads)
(10511|10511) sub wait_received 0:16
(10511|10519) pub6->transport cfg_6
(10511|10527) pub9->transport cfg_9
(10511|10520) pub8->transport cfg_8
(10511|10521) pub5->transport cfg_5
(10511|10522) pub4->transport cfg_4
(10511|10523) pub1->transport cfg_1
(10511|10525) pub7->transport cfg_7
(10511|10531) pub14->transport cfg_14
(10511|10532) pub15->transport cfg_15
(10511|10524) pub3->transport cfg_3
(10511|10526) pub10->transport cfg_10
(10511|10528) pub11->transport cfg_11
(10511|10517) pub0->transport cfg_0
(10511|10518) pub2->transport cfg_2
(10511|10530) pub13->transport cfg_13
(10511|10519) pub6  writer id: 0103000c.297a35f2.290f4aac.00000002(83b0731d)
(10511|10531) pub14  writer id: 0103000c.297a35f2.290f4ab4.00000002(d320af5e)
(10511|10531) pub14->started
(10511|10531) pub14->wait_match() before write for 0103000c.297a35f2.290f4ab4.00000002(d320af5e)
(10511|10525) pub7  writer id: 0103000c.297a35f2.290f4aad.00000002(bed05aad)
(10511|10525) pub7->started
(10511|10525) pub7->wait_match() before write for 0103000c.297a35f2.290f4aad.00000002(bed05aad)
(10511|10519) pub6->started
(10511|10519) pub6->wait_match() before write for 0103000c.297a35f2.290f4aac.00000002(83b0731d)
(10511|10532) pub15  writer id: 0103000c.297a35f2.290f4ab5.00000002(ee4086ee)
(10511|10532) pub15->started
(10511|10532) pub15->wait_match() before write for 0103000c.297a35f2.290f4ab5.00000002(ee4086ee)
(10511|10529) pub12->transport cfg_12
(10511|10523) pub1  writer id: 0103000c.297a35f2.290f4aa8.00000002(7630d5dd)
(10511|10523) pub1->started
(10511|10523) pub1->wait_match() before write for 0103000c.297a35f2.290f4aa8.00000002(7630d5dd)
(10511|10528) pub11  writer id: 0103000c.297a35f2.290f4ab1.00000002(1bc0202e)
(10511|10528) pub11->started
(10511|10528) pub11->wait_match() before write for 0103000c.297a35f2.290f4ab1.00000002(1bc0202e)
(10511|10526) pub10  writer id: 0103000c.297a35f2.290f4aaf.00000002(c41009cd)
(10511|10526) pub10->started
(10511|10526) pub10->wait_match() before write for 0103000c.297a35f2.290f4aaf.00000002(c41009cd)
(10511|10520) pub8  writer id: 0103000c.297a35f2.290f4aae.00000002(f970207d)
(10511|10520) pub8->started
(10511|10520) pub8->wait_match() before write for 0103000c.297a35f2.290f4aae.00000002(f970207d)
(10511|10521) pub5  writer id: 0103000c.297a35f2.290f4aab.00000002(3190af0d)
(10511|10518) pub2  writer id: 0103000c.297a35f2.290f4aa7.00000002(f460420c)
(10511|10518) pub2->started
(10511|10518) pub2->wait_match() before write for 0103000c.297a35f2.290f4aa7.00000002(f460420c)
(10511|10522) pub4  writer id: 0103000c.297a35f2.290f4aaa.00000002(0cf086bd)
(10511|10522) pub4->started
(10511|10522) pub4->wait_match() before write for 0103000c.297a35f2.290f4aaa.00000002(0cf086bd)
(10511|10521) pub5->started
(10511|10521) pub5->wait_match() before write for 0103000c.297a35f2.290f4aab.00000002(3190af0d)
(10511|10527) pub9  writer id: 0103000c.297a35f2.290f4ab0.00000002(26a0099e)
(10511|10527) pub9->started
(10511|10527) pub9->wait_match() before write for 0103000c.297a35f2.290f4ab0.00000002(26a0099e)
(10511|10530) pub13  writer id: 0103000c.297a35f2.290f4ab3.00000002(6100734e)
(10511|10530) pub13->started
(10511|10530) pub13->wait_match() before write for 0103000c.297a35f2.290f4ab3.00000002(6100734e)
(10511|10517) pub0  writer id: 0103000c.297a35f2.290f4aa6.00000002(c9006bbc)
(10511|10517) pub0->started
(10511|10517) pub0->wait_match() before write for 0103000c.297a35f2.290f4aa6.00000002(c9006bbc)
(10511|10524) pub3  writer id: 0103000c.297a35f2.290f4aa9.00000002(4b50fc6d)
(10511|10524) pub3->started
(10511|10524) pub3->wait_match() before write for 0103000c.297a35f2.290f4aa9.00000002(4b50fc6d)
(10511|10529) pub12  writer id: 0103000c.297a35f2.290f4ab2.00000002(5c605afe)
(10511|10529) pub12->started
(10511|10529) pub12->wait_match() before write for 0103000c.297a35f2.290f4ab2.00000002(5c605afe)
(10511|10531) pub14<-match found! before write for 0103000c.297a35f2.290f4ab4.00000002(d320af5e)
(10511|10531) pub14  10% (7 samples sent)
(10511|10531) pub14  20% (13 samples sent)
(10511|10531) pub14  31% (20 samples sent)
(10511|10531) pub14  40% (26 samples sent)
(10511|10531) pub14  50% (32 samples sent)
(10511|10531) pub14  60% (39 samples sent)
(10511|10531) pub14  70% (45 samples sent)
(10511|10531) pub14  81% (52 samples sent)
(10511|10531) pub14  90% (58 samples sent)
(10511|10531) pub14  100% (64 samples sent)
(10511|10531) pub14  waiting for acks
(10511|10528) pub11<-match found! before write for 0103000c.297a35f2.290f4ab1.00000002(1bc0202e)
(10511|10528) pub11  10% (7 samples sent)
(10511|10528) pub11  20% (13 samples sent)
(10511|10528) pub11  31% (20 samples sent)
(10511|10528) pub11  40% (26 samples sent)
(10511|10528) pub11  50% (32 samples sent)
(10511|10528) pub11  60% (39 samples sent)
(10511|10528) pub11  70% (45 samples sent)
(10511|10528) pub11  81% (52 samples sent)
(10511|10528) pub11  90% (58 samples sent)
(10511|10520) pub8<-match found! before write for 0103000c.297a35f2.290f4aae.00000002(f970207d)
(10511|10520) pub8  10% (7 samples sent)
(10511|10520) pub8  20% (13 samples sent)
(10511|10520) pub8  31% (20 samples sent)
(10511|10520) pub8  40% (26 samples sent)
(10511|10520) pub8  50% (32 samples sent)
(10511|10520) pub8  60% (39 samples sent)
(10511|10520) pub8  70% (45 samples sent)
(10511|10520) pub8  81% (52 samples sent)
(10511|10520) pub8  90% (58 samples sent)
(10511|10518) pub2<-match found! before write for 0103000c.297a35f2.290f4aa7.00000002(f460420c)
(10511|10518) pub2  10% (7 samples sent)
(10511|10518) pub2  20% (13 samples sent)
(10511|10518) pub2  31% (20 samples sent)
(10511|10518) pub2  40% (26 samples sent)
(10511|10518) pub2  50% (32 samples sent)
(10511|10518) pub2  60% (39 samples sent)
(10511|10528) pub11  100% (64 samples sent)
(10511|10528) pub11  waiting for acks
(10511|10520) pub8  100% (64 samples sent)
(10511|10520) pub8  waiting for acks
(10511|10518) pub2  70% (45 samples sent)
(10511|10518) pub2  81% (52 samples sent)
(10511|10518) pub2  90% (58 samples sent)
(10511|10518) pub2  100% (64 samples sent)
(10511|10518) pub2  waiting for acks
(10511|10516)  sub 10% (103 samples received)
(10511|10521) pub5<-match found! before write for 0103000c.297a35f2.290f4aab.00000002(3190af0d)
(10511|10521) pub5  10% (7 samples sent)
(10511|10521) pub5  20% (13 samples sent)
(10511|10521) pub5  31% (20 samples sent)
(10511|10521) pub5  40% (26 samples sent)
(10511|10521) pub5  50% (32 samples sent)
(10511|10521) pub5  60% (39 samples sent)
(10511|10521) pub5  70% (45 samples sent)
(10511|10521) pub5  81% (52 samples sent)
(10511|10521) pub5  90% (58 samples sent)
(10511|10521) pub5  100% (64 samples sent)
(10511|10521) pub5  waiting for acks
(10511|10530) pub13<-match found! before write for 0103000c.297a35f2.290f4ab3.00000002(6100734e)
(10511|10530) pub13  10% (7 samples sent)
(10511|10530) pub13  20% (13 samples sent)
(10511|10530) pub13  31% (20 samples sent)
(10511|10530) pub13  40% (26 samples sent)
(10511|10530) pub13  50% (32 samples sent)
(10511|10530) pub13  60% (39 samples sent)
(10511|10523) pub1<-match found! before write for 0103000c.297a35f2.290f4aa8.00000002(7630d5dd)
(10511|10523) pub1  10% (7 samples sent)
(10511|10523) pub1  20% (13 samples sent)
(10511|10523) pub1  31% (20 samples sent)
(10511|10523) pub1  40% (26 samples sent)
(10511|10523) pub1  50% (32 samples sent)
(10511|10523) pub1  60% (39 samples sent)
(10511|10523) pub1  70% (45 samples sent)
(10511|10523) pub1  81% (52 samples sent)
(10511|10530) pub13  70% (45 samples sent)
(10511|10528) pub11  waiting for acks returned
(10511|10528) pub11<-delete_contained_entities
(10511|10530) pub13  81% (52 samples sent)
(10511|10530) pub13  90% (58 samples sent)
(10511|10526) pub10<-match found! before write for 0103000c.297a35f2.290f4aaf.00000002(c41009cd)
(10511|10526) pub10  10% (7 samples sent)
(10511|10530) pub13  100% (64 samples sent)
(10511|10530) pub13  waiting for acks
(10511|10526) pub10  20% (13 samples sent)
(10511|10526) pub10  31% (20 samples sent)
(10511|10526) pub10  40% (26 samples sent)
(10511|10526) pub10  50% (32 samples sent)
(10511|10529) pub12<-match found! before write for 0103000c.297a35f2.290f4ab2.00000002(5c605afe)
(10511|10529) pub12  10% (7 samples sent)
(10511|10529) pub12  20% (13 samples sent)
(10511|10523) pub1  90% (58 samples sent)
(10511|10529) pub12  31% (20 samples sent)
(10511|10523) pub1  100% (64 samples sent)
(10511|10523) pub1  waiting for acks
(10511|10529) pub12  40% (26 samples sent)
(10511|10529) pub12  50% (32 samples sent)
(10511|10529) pub12  60% (39 samples sent)
(10511|10516)  sub 20% (205 samples received)
(10511|10526) pub10  60% (39 samples sent)
(10511|10526) pub10  70% (45 samples sent)
(10511|10526) pub10  81% (52 samples sent)
(10511|10526) pub10  90% (58 samples sent)
(10511|10529) pub12  70% (45 samples sent)
(10511|10529) pub12  81% (52 samples sent)
(10511|10529) pub12  90% (58 samples sent)
(10511|10529) pub12  100% (64 samples sent)
(10511|10529) pub12  waiting for acks
(10511|10526) pub10  100% (64 samples sent)
(10511|10526) pub10  waiting for acks
(10511|10525) pub7<-match found! before write for 0103000c.297a35f2.290f4aad.00000002(bed05aad)
(10511|10525) pub7  10% (7 samples sent)
(10511|10525) pub7  20% (13 samples sent)
(10511|10524) pub3<-match found! before write for 0103000c.297a35f2.290f4aa9.00000002(4b50fc6d)
(10511|10524) pub3  10% (7 samples sent)
(10511|10524) pub3  20% (13 samples sent)
(10511|10525) pub7  31% (20 samples sent)
(10511|10525) pub7  40% (26 samples sent)
(10511|10524) pub3  31% (20 samples sent)
(10511|10524) pub3  40% (26 samples sent)
(10511|10524) pub3  50% (32 samples sent)
(10511|10525) pub7  50% (32 samples sent)
(10511|10525) pub7  60% (39 samples sent)
(10511|10525) pub7  70% (45 samples sent)
(10511|10524) pub3  60% (39 samples sent)
(10511|10524) pub3  70% (45 samples sent)
(10511|10524) pub3  81% (52 samples sent)
(10511|10522) pub4<-match found! before write for 0103000c.297a35f2.290f4aaa.00000002(0cf086bd)
(10511|10522) pub4  10% (7 samples sent)
(10511|10522) pub4  20% (13 samples sent)
(10511|10522) pub4  31% (20 samples sent)
(10511|10522) pub4  40% (26 samples sent)
(10511|10522) pub4  50% (32 samples sent)
(10511|10522) pub4  60% (39 samples sent)
(10511|10525) pub7  81% (52 samples sent)
(10511|10525) pub7  90% (58 samples sent)
(10511|10525) pub7  100% (64 samples sent)
(10511|10524) pub3  90% (58 samples sent)
(10511|10524) pub3  100% (64 samples sent)
(10511|10524) pub3  waiting for acks
(10511|10522) pub4  70% (45 samples sent)
(10511|10522) pub4  81% (52 samples sent)
(10511|10522) pub4  90% (58 samples sent)
(10511|10525) pub7  waiting for acks
(10511|10522) pub4  100% (64 samples sent)
(10511|10522) pub4  waiting for acks
(10511|10527) pub9<-match found! before write for 0103000c.297a35f2.290f4ab0.00000002(26a0099e)
(10511|10527) pub9  10% (7 samples sent)
(10511|10527) pub9  20% (13 samples sent)
(10511|10527) pub9  31% (20 samples sent)
(10511|10527) pub9  40% (26 samples sent)
(10511|10527) pub9  50% (32 samples sent)
(10511|10527) pub9  60% (39 samples sent)
(10511|10532) pub15<-match found! before write for 0103000c.297a35f2.290f4ab5.00000002(ee4086ee)
(10511|10532) pub15  10% (7 samples sent)
(10511|10532) pub15  20% (13 samples sent)
(10511|10532) pub15  31% (20 samples sent)
(10511|10532) pub15  40% (26 samples sent)
(10511|10519) pub6<-match found! before write for 0103000c.297a35f2.290f4aac.00000002(83b0731d)
(10511|10519) pub6  10% (7 samples sent)
(10511|10519) pub6  20% (13 samples sent)
(10511|10527) pub9  70% (45 samples sent)
(10511|10519) pub6  31% (20 samples sent)
(10511|10527) pub9  81% (52 samples sent)
(10511|10519) pub6  40% (26 samples sent)
(10511|10527) pub9  90% (58 samples sent)
(10511|10519) pub6  50% (32 samples sent)
(10511|10519) pub6  60% (39 samples sent)
(10511|10532) pub15  50% (32 samples sent)
(10511|10532) pub15  60% (39 samples sent)
(10511|10528) pub11<-delete_participant
(10511|10527) pub9  100% (64 samples sent)
(10511|10527) pub9  waiting for acks
(10511|10519) pub6  70% (45 samples sent)
(10511|10516)  sub 30% (308 samples received)
(10511|10519) pub6  81% (52 samples sent)
(10511|10519) pub6  90% (58 samples sent)
(10511|10519) pub6  100% (64 samples sent)
(10511|10519) pub6  waiting for acks
(10511|10532) pub15  70% (45 samples sent)
(10511|10532) pub15  81% (52 samples sent)
(10511|10532) pub15  90% (58 samples sent)
(10511|10517) pub0<-match found! before write for 0103000c.297a35f2.290f4aa6.00000002(c9006bbc)
(10511|10517) pub0  10% (7 samples sent)
(10511|10517) pub0  20% (13 samples sent)
(10511|10517) pub0  31% (20 samples sent)
(10511|10517) pub0  40% (26 samples sent)
(10511|10532) pub15  100% (64 samples sent)
(10511|10532) pub15  waiting for acks
(10511|10517) pub0  50% (32 samples sent)
(10511|10517) pub0  60% (39 samples sent)
(10511|10517) pub0  70% (45 samples sent)
(10511|10517) pub0  81% (52 samples sent)
(10511|10531) pub14  waiting for acks returned
(10511|10531) pub14<-delete_contained_entities
(10511|10517) pub0  90% (58 samples sent)
(10511|10517) pub0  100% (64 samples sent)
(10511|10517) pub0  waiting for acks
(10511|10516)  sub 40% (410 samples received)
(10511|10516)  sub 50% (512 samples received)
(10511|10521) pub5  waiting for acks returned
(10511|10521) pub5<-delete_contained_entities
(10511|10524) pub3  waiting for acks returned
(10511|10524) pub3<-delete_contained_entities
(10511|10516)  sub 60% (615 samples received)
(10511|10520) pub8  waiting for acks returned
(10511|10520) pub8<-delete_contained_entities
(10511|10523) pub1  waiting for acks returned
(10511|10523) pub1<-delete_contained_entities
(10511|10530) pub13  waiting for acks returned
(10511|10530) pub13<-delete_contained_entities
(10511|10518) pub2  waiting for acks returned
(10511|10518) pub2<-delete_contained_entities
(10511|10532) pub15  waiting for acks returned
(10511|10532) pub15<-delete_contained_entities
(10511|10516)  sub 70% (717 samples received)
(10511|10516)  sub 80% (820 samples received)
(10511|10529) pub12  waiting for acks returned
(10511|10526) pub10  waiting for acks returned
(10511|10526) pub10<-delete_contained_entities
(10511|10522) pub4  waiting for acks returned
(10511|10522) pub4<-delete_contained_entities
(10511|10529) pub12<-delete_contained_entities
(10511|10527) pub9  waiting for acks returned
(10511|10527) pub9<-delete_contained_entities
(10511|10531) pub14<-delete_participant
(10511|10521) pub5<-delete_participant
(10511|10524) pub3<-delete_participant
(10511|10516) sub condition_.notify_all
(10511|10511) sub condition_.wait returned
(10511|10511) sub check_received
(10511|10511) sub check_received returns 0
(10511|10511) <- PublisherService::end
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10520) pub8<-delete_participant
(10511|10519) pub6  waiting for acks returned
(10511|10519) pub6<-delete_contained_entities
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516)  sub 90% (922 samples received)
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10523) pub1<-delete_participant
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10530) pub13<-delete_participant
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10518) pub2<-delete_participant
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10532) pub15<-delete_participant
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516) sub condition_.notify_all
(10511|10516)  sub 100% (1024 samples received)
(10511|10516) sub condition_.notify_all
(10511|10525) pub7  waiting for acks returned
(10511|10525) pub7<-delete_contained_entities
(10511|10517) pub0  waiting for acks returned
(10511|10517) pub0<-delete_contained_entities
(10511|10522) pub4<-delete_participant
(10511|10529) pub12<-delete_participant
(10511|10526) pub10<-delete_participant
(10511|10527) pub9<-delete_participant
(10511|10519) pub6<-delete_participant
(10511|10525) pub7<-delete_participant
(10511|10517) pub0<-delete_participant
(10511|10511) <- PublisherService::~PublisherService
(10511|10511) <- Subscriber delete_contained_entities
(10511|10511) <- Subscriber delete_participant
(10511|10511) <- Subscriber::~Subscriber
(10511|10511) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl medium rtps Time:3s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl high rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 32 -s 32 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 10598 started at 2023-04-19 14:17:07
(10598|10598) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(10598|10598) -> Thrasher started
(10598|10598) -> Subscriber::Subscriber
(10598|10598)    Subscriber reader id: 0103000c.297a35f2.2966c73e.00000007(e41cfdd2)
(10598|10598) -> PublisherService::PublisherService
(10598|10598) -> PublisherService::start (32 threads)
(10598|10598) sub wait_received 0:32
(10598|10604) pub0->transport cfg_0
(10598|10606) pub3->transport cfg_3
(10598|10622) pub18->transport cfg_18
(10598|10609) pub5->transport cfg_5
(10598|10611) pub7->transport cfg_7
(10598|10628) pub24->transport cfg_24
(10598|10607) pub4->transport cfg_4
(10598|10608) pub2->transport cfg_2
(10598|10614) pub10->transport cfg_10
(10598|10605) pub1->transport cfg_1
(10598|10613) pub9->transport cfg_9
(10598|10618) pub14->transport cfg_14
(10598|10610) pub6->transport cfg_6
(10598|10619) pub15->transport cfg_15
(10598|10631) pub27->transport cfg_27
(10598|10612) pub8->transport cfg_8
(10598|10615) pub11->transport cfg_11
(10598|10630) pub25->transport cfg_25
(10598|10623) pub19->transport cfg_19
(10598|10627) pub21->transport cfg_21
(10598|10613) pub9  writer id: 0103000c.297a35f2.2966c749.00000002(df843582)
(10598|10613) pub9->started
(10598|10613) pub9->wait_match() before write for 0103000c.297a35f2.2966c749.00000002(df843582)
(10598|10622) pub18  writer id: 0103000c.297a35f2.2966c75a.00000002(f8c4d8d0)
(10598|10622) pub18->started
(10598|10622) pub18->wait_match() before write for 0103000c.297a35f2.2966c75a.00000002(f8c4d8d0)
(10598|10617) pub12->transport cfg_12
(10598|10619) pub15  writer id: 0103000c.297a35f2.2966c74d.00000002(2a049342)
(10598|10619) pub15->started
(10598|10619) pub15->wait_match() before write for 0103000c.297a35f2.2966c74d.00000002(2a049342)
(10598|10610) pub6  writer id: 0103000c.297a35f2.2966c745.00000002(1a74d883)
(10598|10610) pub6->started
(10598|10610) pub6->wait_match() before write for 0103000c.297a35f2.2966c745.00000002(1a74d883)
(10598|10621) pub17->transport cfg_17
(10598|10614) pub10  writer id: 0103000c.297a35f2.2966c748.00000002(e2e41c32)
(10598|10614) pub10->started
(10598|10614) pub10->wait_match() before write for 0103000c.297a35f2.2966c748.00000002(e2e41c32)
(10598|10607) pub4  writer id: 0103000c.297a35f2.2966c743.00000002(95342d23)
(10598|10607) pub4->started
(10598|10607) pub4->wait_match() before write for 0103000c.297a35f2.2966c743.00000002(95342d23)
(10598|10629) pub20->transport cfg_20
(10598|10604) pub0  writer id: 0103000c.297a35f2.2966c73f.00000002(a91620ed)
(10598|10604) pub0->started
(10598|10604) pub0->wait_match() before write for 0103000c.297a35f2.2966c73f.00000002(a91620ed)
(10598|10626) pub22->transport cfg_22
(10598|10616) pub13->transport cfg_13
(10598|10611) pub7  writer id: 0103000c.297a35f2.2966c746.00000002(5dd4a253)
(10598|10611) pub7->started
(10598|10611) pub7->wait_match() before write for 0103000c.297a35f2.2966c746.00000002(5dd4a253)
(10598|10633) pub28->transport cfg_28
(10598|10624) pub29->transport cfg_29
(10598|10620) pub16->transport cfg_16
(10598|10625) pub23->transport cfg_23
(10598|10634) pub30->transport cfg_30
(10598|10605) pub1  writer id: 0103000c.297a35f2.2966c740.00000002(d29457f3)
(10598|10605) pub1->started
(10598|10605) pub1->wait_match() before write for 0103000c.297a35f2.2966c740.00000002(d29457f3)
(10598|10631) pub27  writer id: 0103000c.297a35f2.2966c750.00000002(b274c071)
(10598|10631) pub27->started
(10598|10631) pub27->wait_match() before write for 0103000c.297a35f2.2966c750.00000002(b274c071)
(10598|10606) pub3  writer id: 0103000c.297a35f2.2966c742.00000002(a8540493)
(10598|10606) pub3->started
(10598|10606) pub3->wait_match() before write for 0103000c.297a35f2.2966c742.00000002(a8540493)
(10598|10635) pub31->transport cfg_31
(10598|10632) pub26->transport cfg_26
(10598|10618) pub14  writer id: 0103000c.297a35f2.2966c74b.00000002(a54466e2)
(10598|10618) pub14->started
(10598|10618) pub14->wait_match() before write for 0103000c.297a35f2.2966c74b.00000002(a54466e2)
(10598|10628) pub24  writer id: 0103000c.297a35f2.2966c759.00000002(bf64a200)
(10598|10609) pub5  writer id: 0103000c.297a35f2.2966c744.00000002(2714f133)
(10598|10609) pub5->started
(10598|10609) pub5->wait_match() before write for 0103000c.297a35f2.2966c744.00000002(2714f133)
(10598|10608) pub2  writer id: 0103000c.297a35f2.2966c741.00000002(eff47e43)
(10598|10608) pub2->started
(10598|10608) pub2->wait_match() before write for 0103000c.297a35f2.2966c741.00000002(eff47e43)
(10598|10612) pub8  writer id: 0103000c.297a35f2.2966c747.00000002(60b48be3)
(10598|10612) pub8->started
(10598|10612) pub8->wait_match() before write for 0103000c.297a35f2.2966c747.00000002(60b48be3)
(10598|10615) pub11  writer id: 0103000c.297a35f2.2966c74a.00000002(98244f52)
(10598|10628) pub24->started
(10598|10628) pub24->wait_match() before write for 0103000c.297a35f2.2966c759.00000002(bf64a200)
(10598|10615) pub11->started
(10598|10615) pub11->wait_match() before write for 0103000c.297a35f2.2966c74a.00000002(98244f52)
(10598|10623) pub19  writer id: 0103000c.297a35f2.2966c751.00000002(8f14e9c1)
(10598|10623) pub19->started
(10598|10623) pub19->wait_match() before write for 0103000c.297a35f2.2966c751.00000002(8f14e9c1)
(10598|10625) pub23  writer id: 0103000c.297a35f2.2966c754.00000002(47f466b1)
(10598|10625) pub23->started
(10598|10625) pub23->wait_match() before write for 0103000c.297a35f2.2966c754.00000002(47f466b1)
(10598|10617) pub12  writer id: 0103000c.297a35f2.2966c74c.00000002(1764baf2)
(10598|10617) pub12->started
(10598|10617) pub12->wait_match() before write for 0103000c.297a35f2.2966c74c.00000002(1764baf2)
(10598|10629) pub20  writer id: 0103000c.297a35f2.2966c74e.00000002(6da4e992)
(10598|10629) pub20->started
(10598|10629) pub20->wait_match() before write for 0103000c.297a35f2.2966c74e.00000002(6da4e992)
(10598|10620) pub16  writer id: 0103000c.297a35f2.2966c758.00000002(82048bb0)
(10598|10620) pub16->started
(10598|10620) pub16->wait_match() before write for 0103000c.297a35f2.2966c758.00000002(82048bb0)
(10598|10616) pub13  writer id: 0103000c.297a35f2.2966c74f.00000002(50c4c022)
(10598|10616) pub13->started
(10598|10616) pub13->wait_match() before write for 0103000c.297a35f2.2966c74f.00000002(50c4c022)
(10598|10634) pub30  writer id: 0103000c.297a35f2.2966c75d.00000002(4ae404c0)
(10598|10634) pub30->started
(10598|10634) pub30->wait_match() before write for 0103000c.297a35f2.2966c75d.00000002(4ae404c0)
(10598|10621) pub17  writer id: 0103000c.297a35f2.2966c757.00000002(00541c61)
(10598|10621) pub17->started
(10598|10621) pub17->wait_match() before write for 0103000c.297a35f2.2966c757.00000002(00541c61)
(10598|10627) pub21  writer id: 0103000c.297a35f2.2966c752.00000002(c8b49311)
(10598|10627) pub21->started
(10598|10627) pub21->wait_match() before write for 0103000c.297a35f2.2966c752.00000002(c8b49311)
(10598|10624) pub29  writer id: 0103000c.297a35f2.2966c75c.00000002(77842d70)
(10598|10624) pub29->started
(10598|10624) pub29->wait_match() before write for 0103000c.297a35f2.2966c75c.00000002(77842d70)
(10598|10633) pub28  writer id: 0103000c.297a35f2.2966c75b.00000002(c5a4f160)
(10598|10633) pub28->started
(10598|10633) pub28->wait_match() before write for 0103000c.297a35f2.2966c75b.00000002(c5a4f160)
(10598|10630) pub25  writer id: 0103000c.297a35f2.2966c755.00000002(7a944f01)
(10598|10630) pub25->started
(10598|10630) pub25->wait_match() before write for 0103000c.297a35f2.2966c755.00000002(7a944f01)
(10598|10626) pub22  writer id: 0103000c.297a35f2.2966c753.00000002(f5d4baa1)
(10598|10626) pub22->started
(10598|10626) pub22->wait_match() before write for 0103000c.297a35f2.2966c753.00000002(f5d4baa1)
(10598|10635) pub31  writer id: 0103000c.297a35f2.2966c75e.00000002(0d447e10)
(10598|10635) pub31->started
(10598|10635) pub31->wait_match() before write for 0103000c.297a35f2.2966c75e.00000002(0d447e10)
(10598|10632) pub26  writer id: 0103000c.297a35f2.2966c756.00000002(3d3435d1)
(10598|10632) pub26->started
(10598|10632) pub26->wait_match() before write for 0103000c.297a35f2.2966c756.00000002(3d3435d1)
(10598|10629) pub20<-match found! before write for 0103000c.297a35f2.2966c74e.00000002(6da4e992)
(10598|10629) pub20  12% (4 samples sent)
(10598|10629) pub20  21% (7 samples sent)
(10598|10629) pub20  31% (10 samples sent)
(10598|10629) pub20  40% (13 samples sent)
(10598|10629) pub20  50% (16 samples sent)
(10598|10629) pub20  62% (20 samples sent)
(10598|10629) pub20  71% (23 samples sent)
(10598|10629) pub20  81% (26 samples sent)
(10598|10629) pub20  90% (29 samples sent)
(10598|10629) pub20  100% (32 samples sent)
(10598|10629) pub20  waiting for acks
(10598|10629) pub20  waiting for acks returned
(10598|10629) pub20<-delete_contained_entities
(10598|10626) pub22<-match found! before write for 0103000c.297a35f2.2966c753.00000002(f5d4baa1)
(10598|10626) pub22  12% (4 samples sent)
(10598|10626) pub22  21% (7 samples sent)
(10598|10626) pub22  31% (10 samples sent)
(10598|10626) pub22  40% (13 samples sent)
(10598|10626) pub22  50% (16 samples sent)
(10598|10626) pub22  62% (20 samples sent)
(10598|10626) pub22  71% (23 samples sent)
(10598|10626) pub22  81% (26 samples sent)
(10598|10626) pub22  90% (29 samples sent)
(10598|10626) pub22  100% (32 samples sent)
(10598|10626) pub22  waiting for acks
(10598|10631) pub27<-match found! before write for 0103000c.297a35f2.2966c750.00000002(b274c071)
(10598|10631) pub27  12% (4 samples sent)
(10598|10631) pub27  21% (7 samples sent)
(10598|10631) pub27  31% (10 samples sent)
(10598|10631) pub27  40% (13 samples sent)
(10598|10631) pub27  50% (16 samples sent)
(10598|10631) pub27  62% (20 samples sent)
(10598|10631) pub27  71% (23 samples sent)
(10598|10631) pub27  81% (26 samples sent)
(10598|10631) pub27  90% (29 samples sent)
(10598|10631) pub27  100% (32 samples sent)
(10598|10631) pub27  waiting for acks
(10598|10629) pub20<-delete_participant
(10598|10626) pub22  waiting for acks returned
(10598|10626) pub22<-delete_contained_entities
(10598|10609) pub5<-match found! before write for 0103000c.297a35f2.2966c744.00000002(2714f133)
(10598|10609) pub5  12% (4 samples sent)
(10598|10609) pub5  21% (7 samples sent)
(10598|10609) pub5  31% (10 samples sent)
(10598|10609) pub5  40% (13 samples sent)
(10598|10609) pub5  50% (16 samples sent)
(10598|10609) pub5  62% (20 samples sent)
(10598|10609) pub5  71% (23 samples sent)
(10598|10609) pub5  81% (26 samples sent)
(10598|10609) pub5  90% (29 samples sent)
(10598|10609) pub5  100% (32 samples sent)
(10598|10609) pub5  waiting for acks
(10598|10626) pub22<-delete_participant
(10598|10609) pub5  waiting for acks returned
(10598|10609) pub5<-delete_contained_entities
(10598|10607) pub4<-match found! before write for 0103000c.297a35f2.2966c743.00000002(95342d23)
(10598|10607) pub4  12% (4 samples sent)
(10598|10607) pub4  21% (7 samples sent)
(10598|10607) pub4  31% (10 samples sent)
(10598|10607) pub4  40% (13 samples sent)
(10598|10607) pub4  50% (16 samples sent)
(10598|10607) pub4  62% (20 samples sent)
(10598|10609) pub5<-delete_participant
(10598|10606) pub3<-match found! before write for 0103000c.297a35f2.2966c742.00000002(a8540493)
(10598|10606) pub3  12% (4 samples sent)
(10598|10606) pub3  21% (7 samples sent)
(10598|10606) pub3  31% (10 samples sent)
(10598|10606) pub3  40% (13 samples sent)
(10598|10606) pub3  50% (16 samples sent)
(10598|10606) pub3  62% (20 samples sent)
(10598|10606) pub3  71% (23 samples sent)
(10598|10606) pub3  81% (26 samples sent)
(10598|10606) pub3  90% (29 samples sent)
(10598|10606) pub3  100% (32 samples sent)
(10598|10606) pub3  waiting for acks
(10598|10607) pub4  71% (23 samples sent)
(10598|10607) pub4  81% (26 samples sent)
(10598|10607) pub4  90% (29 samples sent)
(10598|10607) pub4  100% (32 samples sent)
(10598|10607) pub4  waiting for acks
(10598|10622) pub18<-match found! before write for 0103000c.297a35f2.2966c75a.00000002(f8c4d8d0)
(10598|10622) pub18  12% (4 samples sent)
(10598|10622) pub18  21% (7 samples sent)
(10598|10622) pub18  31% (10 samples sent)
(10598|10622) pub18  40% (13 samples sent)
(10598|10605) pub1<-match found! before write for 0103000c.297a35f2.2966c740.00000002(d29457f3)
(10598|10605) pub1  12% (4 samples sent)
(10598|10605) pub1  21% (7 samples sent)
(10598|10605) pub1  31% (10 samples sent)
(10598|10605) pub1  40% (13 samples sent)
(10598|10605) pub1  50% (16 samples sent)
(10598|10605) pub1  62% (20 samples sent)
(10598|10605) pub1  71% (23 samples sent)
(10598|10605) pub1  81% (26 samples sent)
(10598|10605) pub1  90% (29 samples sent)
(10598|10605) pub1  100% (32 samples sent)
(10598|10605) pub1  waiting for acks
(10598|10622) pub18  50% (16 samples sent)
(10598|10622) pub18  62% (20 samples sent)
(10598|10622) pub18  71% (23 samples sent)
(10598|10622) pub18  81% (26 samples sent)
(10598|10622) pub18  90% (29 samples sent)
(10598|10622) pub18  100% (32 samples sent)
(10598|10622) pub18  waiting for acks
(10598|10603)  sub 10% (103 samples received)
(10598|10612) pub8<-match found! before write for 0103000c.297a35f2.2966c747.00000002(60b48be3)
(10598|10612) pub8  12% (4 samples sent)
(10598|10612) pub8  21% (7 samples sent)
(10598|10612) pub8  31% (10 samples sent)
(10598|10612) pub8  40% (13 samples sent)
(10598|10612) pub8  50% (16 samples sent)
(10598|10612) pub8  62% (20 samples sent)
(10598|10612) pub8  71% (23 samples sent)
(10598|10612) pub8  81% (26 samples sent)
(10598|10612) pub8  90% (29 samples sent)
(10598|10612) pub8  100% (32 samples sent)
(10598|10612) pub8  waiting for acks
(10598|10625) pub23<-match found! before write for 0103000c.297a35f2.2966c754.00000002(47f466b1)
(10598|10625) pub23  12% (4 samples sent)
(10598|10625) pub23  21% (7 samples sent)
(10598|10625) pub23  31% (10 samples sent)
(10598|10625) pub23  40% (13 samples sent)
(10598|10625) pub23  50% (16 samples sent)
(10598|10625) pub23  62% (20 samples sent)
(10598|10625) pub23  71% (23 samples sent)
(10598|10625) pub23  81% (26 samples sent)
(10598|10625) pub23  90% (29 samples sent)
(10598|10625) pub23  100% (32 samples sent)
(10598|10625) pub23  waiting for acks
(10598|10630) pub25<-match found! before write for 0103000c.297a35f2.2966c755.00000002(7a944f01)
(10598|10630) pub25  12% (4 samples sent)
(10598|10630) pub25  21% (7 samples sent)
(10598|10630) pub25  31% (10 samples sent)
(10598|10630) pub25  40% (13 samples sent)
(10598|10630) pub25  50% (16 samples sent)
(10598|10630) pub25  62% (20 samples sent)
(10598|10630) pub25  71% (23 samples sent)
(10598|10630) pub25  81% (26 samples sent)
(10598|10630) pub25  90% (29 samples sent)
(10598|10630) pub25  100% (32 samples sent)
(10598|10630) pub25  waiting for acks
(10598|10624) pub29<-match found! before write for 0103000c.297a35f2.2966c75c.00000002(77842d70)
(10598|10624) pub29  12% (4 samples sent)
(10598|10624) pub29  21% (7 samples sent)
(10598|10624) pub29  31% (10 samples sent)
(10598|10624) pub29  40% (13 samples sent)
(10598|10624) pub29  50% (16 samples sent)
(10598|10624) pub29  62% (20 samples sent)
(10598|10624) pub29  71% (23 samples sent)
(10598|10624) pub29  81% (26 samples sent)
(10598|10624) pub29  90% (29 samples sent)
(10598|10624) pub29  100% (32 samples sent)
(10598|10624) pub29  waiting for acks
(10598|10622) pub18  waiting for acks returned
(10598|10622) pub18<-delete_contained_entities
(10598|10616) pub13<-match found! before write for 0103000c.297a35f2.2966c74f.00000002(50c4c022)
(10598|10616) pub13  12% (4 samples sent)
(10598|10616) pub13  21% (7 samples sent)
(10598|10616) pub13  31% (10 samples sent)
(10598|10616) pub13  40% (13 samples sent)
(10598|10616) pub13  50% (16 samples sent)
(10598|10616) pub13  62% (20 samples sent)
(10598|10616) pub13  71% (23 samples sent)
(10598|10616) pub13  81% (26 samples sent)
(10598|10616) pub13  90% (29 samples sent)
(10598|10616) pub13  100% (32 samples sent)
(10598|10616) pub13  waiting for acks
(10598|10622) pub18<-delete_participant
(10598|10627) pub21<-match found! before write for 0103000c.297a35f2.2966c752.00000002(c8b49311)
(10598|10627) pub21  12% (4 samples sent)
(10598|10627) pub21  21% (7 samples sent)
(10598|10627) pub21  31% (10 samples sent)
(10598|10627) pub21  40% (13 samples sent)
(10598|10627) pub21  50% (16 samples sent)
(10598|10627) pub21  62% (20 samples sent)
(10598|10627) pub21  71% (23 samples sent)
(10598|10627) pub21  81% (26 samples sent)
(10598|10627) pub21  90% (29 samples sent)
(10598|10627) pub21  100% (32 samples sent)
(10598|10627) pub21  waiting for acks
(10598|10613) pub9<-match found! before write for 0103000c.297a35f2.2966c749.00000002(df843582)
(10598|10613) pub9  12% (4 samples sent)
(10598|10613) pub9  21% (7 samples sent)
(10598|10613) pub9  31% (10 samples sent)
(10598|10613) pub9  40% (13 samples sent)
(10598|10613) pub9  50% (16 samples sent)
(10598|10613) pub9  62% (20 samples sent)
(10598|10613) pub9  71% (23 samples sent)
(10598|10613) pub9  81% (26 samples sent)
(10598|10613) pub9  90% (29 samples sent)
(10598|10613) pub9  100% (32 samples sent)
(10598|10613) pub9  waiting for acks
(10598|10613) pub9  waiting for acks returned
(10598|10613) pub9<-delete_contained_entities
(10598|10604) pub0<-match found! before write for 0103000c.297a35f2.2966c73f.00000002(a91620ed)
(10598|10604) pub0  12% (4 samples sent)
(10598|10604) pub0  21% (7 samples sent)
(10598|10604) pub0  31% (10 samples sent)
(10598|10604) pub0  40% (13 samples sent)
(10598|10604) pub0  50% (16 samples sent)
(10598|10604) pub0  62% (20 samples sent)
(10598|10604) pub0  71% (23 samples sent)
(10598|10604) pub0  81% (26 samples sent)
(10598|10604) pub0  90% (29 samples sent)
(10598|10604) pub0  100% (32 samples sent)
(10598|10604) pub0  waiting for acks
(10598|10619) pub15<-match found! before write for 0103000c.297a35f2.2966c74d.00000002(2a049342)
(10598|10619) pub15  12% (4 samples sent)
(10598|10619) pub15  21% (7 samples sent)
(10598|10619) pub15  31% (10 samples sent)
(10598|10619) pub15  40% (13 samples sent)
(10598|10619) pub15  50% (16 samples sent)
(10598|10619) pub15  62% (20 samples sent)
(10598|10619) pub15  71% (23 samples sent)
(10598|10619) pub15  81% (26 samples sent)
(10598|10619) pub15  90% (29 samples sent)
(10598|10619) pub15  100% (32 samples sent)
(10598|10619) pub15  waiting for acks
(10598|10604) pub0  waiting for acks returned
(10598|10604) pub0<-delete_contained_entities
(10598|10628) pub24<-match found! before write for 0103000c.297a35f2.2966c759.00000002(bf64a200)
(10598|10628) pub24  12% (4 samples sent)
(10598|10628) pub24  21% (7 samples sent)
(10598|10628) pub24  31% (10 samples sent)
(10598|10628) pub24  40% (13 samples sent)
(10598|10628) pub24  50% (16 samples sent)
(10598|10628) pub24  62% (20 samples sent)
(10598|10628) pub24  71% (23 samples sent)
(10598|10628) pub24  81% (26 samples sent)
(10598|10610) pub6<-match found! before write for 0103000c.297a35f2.2966c745.00000002(1a74d883)
(10598|10610) pub6  12% (4 samples sent)
(10598|10610) pub6  21% (7 samples sent)
(10598|10610) pub6  31% (10 samples sent)
(10598|10610) pub6  40% (13 samples sent)
(10598|10610) pub6  50% (16 samples sent)
(10598|10610) pub6  62% (20 samples sent)
(10598|10610) pub6  71% (23 samples sent)
(10598|10610) pub6  81% (26 samples sent)
(10598|10610) pub6  90% (29 samples sent)
(10598|10610) pub6  100% (32 samples sent)
(10598|10610) pub6  waiting for acks
(10598|10628) pub24  90% (29 samples sent)
(10598|10628) pub24  100% (32 samples sent)
(10598|10628) pub24  waiting for acks
(10598|10608) pub2<-match found! before write for 0103000c.297a35f2.2966c741.00000002(eff47e43)
(10598|10608) pub2  12% (4 samples sent)
(10598|10608) pub2  21% (7 samples sent)
(10598|10608) pub2  31% (10 samples sent)
(10598|10608) pub2  40% (13 samples sent)
(10598|10608) pub2  50% (16 samples sent)
(10598|10608) pub2  62% (20 samples sent)
(10598|10608) pub2  71% (23 samples sent)
(10598|10608) pub2  81% (26 samples sent)
(10598|10608) pub2  90% (29 samples sent)
(10598|10608) pub2  100% (32 samples sent)
(10598|10608) pub2  waiting for acks
(10598|10618) pub14<-match found! before write for 0103000c.297a35f2.2966c74b.00000002(a54466e2)
(10598|10618) pub14  12% (4 samples sent)
(10598|10618) pub14  21% (7 samples sent)
(10598|10618) pub14  31% (10 samples sent)
(10598|10618) pub14  40% (13 samples sent)
(10598|10618) pub14  50% (16 samples sent)
(10598|10618) pub14  62% (20 samples sent)
(10598|10618) pub14  71% (23 samples sent)
(10598|10618) pub14  81% (26 samples sent)
(10598|10618) pub14  90% (29 samples sent)
(10598|10618) pub14  100% (32 samples sent)
(10598|10618) pub14  waiting for acks
(10598|10635) pub31<-match found! before write for 0103000c.297a35f2.2966c75e.00000002(0d447e10)
(10598|10635) pub31  12% (4 samples sent)
(10598|10635) pub31  21% (7 samples sent)
(10598|10635) pub31  31% (10 samples sent)
(10598|10635) pub31  40% (13 samples sent)
(10598|10635) pub31  50% (16 samples sent)
(10598|10635) pub31  62% (20 samples sent)
(10598|10635) pub31  71% (23 samples sent)
(10598|10635) pub31  81% (26 samples sent)
(10598|10635) pub31  90% (29 samples sent)
(10598|10635) pub31  100% (32 samples sent)
(10598|10635) pub31  waiting for acks
(10598|10603)  sub 20% (205 samples received)
(10598|10621) pub17<-match found! before write for 0103000c.297a35f2.2966c757.00000002(00541c61)
(10598|10621) pub17  12% (4 samples sent)
(10598|10621) pub17  21% (7 samples sent)
(10598|10621) pub17  31% (10 samples sent)
(10598|10621) pub17  40% (13 samples sent)
(10598|10621) pub17  50% (16 samples sent)
(10598|10621) pub17  62% (20 samples sent)
(10598|10621) pub17  71% (23 samples sent)
(10598|10621) pub17  81% (26 samples sent)
(10598|10621) pub17  90% (29 samples sent)
(10598|10621) pub17  100% (32 samples sent)
(10598|10621) pub17  waiting for acks
(10598|10617) pub12<-match found! before write for 0103000c.297a35f2.2966c74c.00000002(1764baf2)
(10598|10617) pub12  12% (4 samples sent)
(10598|10617) pub12  21% (7 samples sent)
(10598|10617) pub12  31% (10 samples sent)
(10598|10617) pub12  40% (13 samples sent)
(10598|10617) pub12  50% (16 samples sent)
(10598|10617) pub12  62% (20 samples sent)
(10598|10617) pub12  71% (23 samples sent)
(10598|10617) pub12  81% (26 samples sent)
(10598|10617) pub12  90% (29 samples sent)
(10598|10617) pub12  100% (32 samples sent)
(10598|10617) pub12  waiting for acks
(10598|10634) pub30<-match found! before write for 0103000c.297a35f2.2966c75d.00000002(4ae404c0)
(10598|10634) pub30  12% (4 samples sent)
(10598|10634) pub30  21% (7 samples sent)
(10598|10634) pub30  31% (10 samples sent)
(10598|10634) pub30  40% (13 samples sent)
(10598|10634) pub30  50% (16 samples sent)
(10598|10634) pub30  62% (20 samples sent)
(10598|10634) pub30  71% (23 samples sent)
(10598|10634) pub30  81% (26 samples sent)
(10598|10634) pub30  90% (29 samples sent)
(10598|10634) pub30  100% (32 samples sent)
(10598|10634) pub30  waiting for acks
(10598|10623) pub19<-match found! before write for 0103000c.297a35f2.2966c751.00000002(8f14e9c1)
(10598|10623) pub19  12% (4 samples sent)
(10598|10623) pub19  21% (7 samples sent)
(10598|10623) pub19  31% (10 samples sent)
(10598|10623) pub19  40% (13 samples sent)
(10598|10623) pub19  50% (16 samples sent)
(10598|10623) pub19  62% (20 samples sent)
(10598|10623) pub19  71% (23 samples sent)
(10598|10623) pub19  81% (26 samples sent)
(10598|10623) pub19  90% (29 samples sent)
(10598|10623) pub19  100% (32 samples sent)
(10598|10623) pub19  waiting for acks
(10598|10614) pub10<-match found! before write for 0103000c.297a35f2.2966c748.00000002(e2e41c32)
(10598|10614) pub10  12% (4 samples sent)
(10598|10614) pub10  21% (7 samples sent)
(10598|10614) pub10  31% (10 samples sent)
(10598|10614) pub10  40% (13 samples sent)
(10598|10614) pub10  50% (16 samples sent)
(10598|10614) pub10  62% (20 samples sent)
(10598|10614) pub10  71% (23 samples sent)
(10598|10614) pub10  81% (26 samples sent)
(10598|10614) pub10  90% (29 samples sent)
(10598|10614) pub10  100% (32 samples sent)
(10598|10614) pub10  waiting for acks
(10598|10620) pub16<-match found! before write for 0103000c.297a35f2.2966c758.00000002(82048bb0)
(10598|10620) pub16  12% (4 samples sent)
(10598|10620) pub16  21% (7 samples sent)
(10598|10620) pub16  31% (10 samples sent)
(10598|10620) pub16  40% (13 samples sent)
(10598|10620) pub16  50% (16 samples sent)
(10598|10620) pub16  62% (20 samples sent)
(10598|10620) pub16  71% (23 samples sent)
(10598|10620) pub16  81% (26 samples sent)
(10598|10620) pub16  90% (29 samples sent)
(10598|10620) pub16  100% (32 samples sent)
(10598|10620) pub16  waiting for acks
(10598|10632) pub26<-match found! before write for 0103000c.297a35f2.2966c756.00000002(3d3435d1)
(10598|10632) pub26  12% (4 samples sent)
(10598|10632) pub26  21% (7 samples sent)
(10598|10632) pub26  31% (10 samples sent)
(10598|10632) pub26  40% (13 samples sent)
(10598|10632) pub26  50% (16 samples sent)
(10598|10632) pub26  62% (20 samples sent)
(10598|10632) pub26  71% (23 samples sent)
(10598|10632) pub26  81% (26 samples sent)
(10598|10632) pub26  90% (29 samples sent)
(10598|10632) pub26  100% (32 samples sent)
(10598|10632) pub26  waiting for acks
(10598|10625) pub23  waiting for acks returned
(10598|10625) pub23<-delete_contained_entities
(10598|10624) pub29  waiting for acks returned
(10598|10624) pub29<-delete_contained_entities
(10598|10615) pub11<-match found! before write for 0103000c.297a35f2.2966c74a.00000002(98244f52)
(10598|10615) pub11  12% (4 samples sent)
(10598|10615) pub11  21% (7 samples sent)
(10598|10615) pub11  31% (10 samples sent)
(10598|10615) pub11  40% (13 samples sent)
(10598|10615) pub11  50% (16 samples sent)
(10598|10615) pub11  62% (20 samples sent)
(10598|10615) pub11  71% (23 samples sent)
(10598|10615) pub11  81% (26 samples sent)
(10598|10615) pub11  90% (29 samples sent)
(10598|10615) pub11  100% (32 samples sent)
(10598|10615) pub11  waiting for acks
(10598|10630) pub25  waiting for acks returned
(10598|10630) pub25<-delete_contained_entities
(10598|10603)  sub 30% (308 samples received)
(10598|10633) pub28<-match found! before write for 0103000c.297a35f2.2966c75b.00000002(c5a4f160)
(10598|10633) pub28  12% (4 samples sent)
(10598|10633) pub28  21% (7 samples sent)
(10598|10633) pub28  31% (10 samples sent)
(10598|10633) pub28  40% (13 samples sent)
(10598|10633) pub28  50% (16 samples sent)
(10598|10633) pub28  62% (20 samples sent)
(10598|10633) pub28  71% (23 samples sent)
(10598|10633) pub28  81% (26 samples sent)
(10598|10633) pub28  90% (29 samples sent)
(10598|10633) pub28  100% (32 samples sent)
(10598|10633) pub28  waiting for acks
(10598|10610) pub6  waiting for acks returned
(10598|10610) pub6<-delete_contained_entities
(10598|10611) pub7<-match found! before write for 0103000c.297a35f2.2966c746.00000002(5dd4a253)
(10598|10611) pub7  12% (4 samples sent)
(10598|10611) pub7  21% (7 samples sent)
(10598|10611) pub7  31% (10 samples sent)
(10598|10611) pub7  40% (13 samples sent)
(10598|10611) pub7  50% (16 samples sent)
(10598|10611) pub7  62% (20 samples sent)
(10598|10611) pub7  71% (23 samples sent)
(10598|10611) pub7  81% (26 samples sent)
(10598|10611) pub7  90% (29 samples sent)
(10598|10611) pub7  100% (32 samples sent)
(10598|10611) pub7  waiting for acks
(10598|10603)  sub 40% (410 samples received)
(10598|10631) pub27  waiting for acks returned
(10598|10631) pub27<-delete_contained_entities
(10598|10635) pub31  waiting for acks returned
(10598|10635) pub31<-delete_contained_entities
(10598|10603)  sub 50% (512 samples received)
(10598|10618) pub14  waiting for acks returned
(10598|10618) pub14<-delete_contained_entities
(10598|10608) pub2  waiting for acks returned
(10598|10608) pub2<-delete_contained_entities
(10598|10633) pub28  waiting for acks returned
(10598|10633) pub28<-delete_contained_entities
(10598|10613) pub9<-delete_participant
(10598|10603)  sub 60% (615 samples received)
(10598|10604) pub0<-delete_participant
(10598|10628) pub24  waiting for acks returned
(10598|10628) pub24<-delete_contained_entities
(10598|10623) pub19  waiting for acks returned
(10598|10623) pub19<-delete_contained_entities
(10598|10621) pub17  waiting for acks returned
(10598|10621) pub17<-delete_contained_entities
(10598|10603)  sub 70% (717 samples received)
(10598|10603)  sub 80% (820 samples received)
(10598|10603)  sub 90% (922 samples received)
(10598|10603) sub condition_.notify_all
(10598|10598) sub condition_.wait returned
(10598|10598) sub check_received
(10598|10598) sub check_received returns 0
(10598|10598) <- PublisherService::end
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10619) pub15  waiting for acks returned
(10598|10619) pub15<-delete_contained_entities
(10598|10611) pub7  waiting for acks returned
(10598|10611) pub7<-delete_contained_entities
(10598|10607) pub4  waiting for acks returned
(10598|10607) pub4<-delete_contained_entities
(10598|10617) pub12  waiting for acks returned
(10598|10617) pub12<-delete_contained_entities
(10598|10606) pub3  waiting for acks returned
(10598|10614) pub10  waiting for acks returned
(10598|10614) pub10<-delete_contained_entities
(10598|10627) pub21  waiting for acks returned
(10598|10627) pub21<-delete_contained_entities
(10598|10634) pub30  waiting for acks returned
(10598|10634) pub30<-delete_contained_entities
(10598|10616) pub13  waiting for acks returned
(10598|10616) pub13<-delete_contained_entities
(10598|10612) pub8  waiting for acks returned
(10598|10612) pub8<-delete_contained_entities
(10598|10606) pub3<-delete_contained_entities
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10632) pub26  waiting for acks returned
(10598|10632) pub26<-delete_contained_entities
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10620) pub16  waiting for acks returned
(10598|10620) pub16<-delete_contained_entities
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10615) pub11  waiting for acks returned
(10598|10615) pub11<-delete_contained_entities
(10598|10625) pub23<-delete_participant
(10598|10624) pub29<-delete_participant
(10598|10630) pub25<-delete_participant
(10598|10610) pub6<-delete_participant
(10598|10631) pub27<-delete_participant
(10598|10635) pub31<-delete_participant
(10598|10618) pub14<-delete_participant
(10598|10608) pub2<-delete_participant
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603) sub condition_.notify_all
(10598|10603)  sub 100% (1024 samples received)
(10598|10603) sub condition_.notify_all
(10598|10633) pub28<-delete_participant
(10598|10605) pub1  waiting for acks returned
(10598|10605) pub1<-delete_contained_entities
(10598|10628) pub24<-delete_participant
(10598|10623) pub19<-delete_participant
(10598|10621) pub17<-delete_participant
(10598|10619) pub15<-delete_participant
(10598|10611) pub7<-delete_participant
(10598|10607) pub4<-delete_participant
(10598|10617) pub12<-delete_participant
(10598|10614) pub10<-delete_participant
(10598|10627) pub21<-delete_participant
(10598|10634) pub30<-delete_participant
(10598|10616) pub13<-delete_participant
(10598|10612) pub8<-delete_participant
(10598|10606) pub3<-delete_participant
(10598|10632) pub26<-delete_participant
(10598|10620) pub16<-delete_participant
(10598|10615) pub11<-delete_participant
(10598|10605) pub1<-delete_participant
(10598|10598) <- PublisherService::~PublisherService
(10598|10598) <- Subscriber delete_contained_entities
(10598|10598) <- Subscriber delete_participant
(10598|10598) <- Subscriber::~Subscriber
(10598|10598) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl high rtps Time:15s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl aggressive rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 64 -s 16 -n 1024 -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 10765 started at 2023-04-19 14:17:22
(10765|10765) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(10765|10765) -> Thrasher started
(10765|10765) -> Subscriber::Subscriber
(10765|10765)    Subscriber reader id: 0103000c.297a35f2.2a0d22f6.00000007(7ccecb05)
(10765|10765) -> PublisherService::PublisherService
(10765|10765) -> PublisherService::start (64 threads)
(10765|10765) sub wait_received 0:64
(10765|10771) pub0->transport cfg_0
(10765|10776) pub4->transport cfg_4
(10765|10781) pub9->transport cfg_9
(10765|10777) pub1->transport cfg_1
(10765|10775) pub5->transport cfg_5
(10765|10771) pub0  writer id: 0103000c.297a35f2.2a0d22f7.00000002(31c4163a)
(10765|10771) pub0->started
(10765|10771) pub0->wait_match() before write for 0103000c.297a35f2.2a0d22f7.00000002(31c4163a)
(10765|10774) pub3->transport cfg_3
(10765|10772) pub2->transport cfg_2
(10765|10800) pub29->transport cfg_29
(10765|10778) pub8->transport cfg_8
(10765|10779) pub7->transport cfg_7
(10765|10780) pub10->transport cfg_10
(10765|10773) pub6->transport cfg_6
(10765|10783) pub12->transport cfg_12
(10765|10781) pub9  writer id: 0103000c.297a35f2.2a0d2300.00000002(008c49d2)
(10765|10781) pub9->started
(10765|10781) pub9->wait_match() before write for 0103000c.297a35f2.2a0d2300.00000002(008c49d2)
(10765|10776) pub4  writer id: 0103000c.297a35f2.2a0d22fb.00000002(f434fb3b)
(10765|10776) pub4->started
(10765|10776) pub4->wait_match() before write for 0103000c.297a35f2.2a0d22fb.00000002(f434fb3b)
(10765|10777) pub1  writer id: 0103000c.297a35f2.2a0d22f8.00000002(b39481eb)
(10765|10777) pub1->started
(10765|10777) pub1->wait_match() before write for 0103000c.297a35f2.2a0d22f8.00000002(b39481eb)
(10765|10775) pub5  writer id: 0103000c.297a35f2.2a0d22fc.00000002(4614272b)
(10765|10775) pub5->started
(10765|10775) pub5->wait_match() before write for 0103000c.297a35f2.2a0d22fc.00000002(4614272b)
(10765|10784) pub13->transport cfg_13
(10765|10778) pub8  writer id: 0103000c.297a35f2.2a0d22ff.00000002(01b45dfb)
(10765|10778) pub8->started
(10765|10778) pub8->wait_match() before write for 0103000c.297a35f2.2a0d22ff.00000002(01b45dfb)
(10765|10800) pub29  writer id: 0103000c.297a35f2.2a0d2313.00000002(27cca480)
(10765|10800) pub29->started
(10765|10800) pub29->wait_match() before write for 0103000c.297a35f2.2a0d2313.00000002(27cca480)
(10765|10774) pub3  writer id: 0103000c.297a35f2.2a0d22fa.00000002(c954d28b)
(10765|10774) pub3->started
(10765|10774) pub3->wait_match() before write for 0103000c.297a35f2.2a0d22fa.00000002(c954d28b)
(10765|10782) pub11->transport cfg_11
(10765|10789) pub18->transport cfg_18
(10765|10779) pub7  writer id: 0103000c.297a35f2.2a0d22fe.00000002(3cd4744b)
(10765|10779) pub7->started
(10765|10779) pub7->wait_match() before write for 0103000c.297a35f2.2a0d22fe.00000002(3cd4744b)
(10765|10822) pub51->transport cfg_51
(10765|10772) pub2  writer id: 0103000c.297a35f2.2a0d22f9.00000002(8ef4a85b)
(10765|10772) pub2->started
(10765|10772) pub2->wait_match() before write for 0103000c.297a35f2.2a0d22f9.00000002(8ef4a85b)
(10765|10780) pub10  writer id: 0103000c.297a35f2.2a0d2301.00000002(3dec6062)
(10765|10780) pub10->started
(10765|10780) pub10->wait_match() before write for 0103000c.297a35f2.2a0d2301.00000002(3dec6062)
(10765|10783) pub12  writer id: 0103000c.297a35f2.2a0d2303.00000002(472c3302)
(10765|10783) pub12->started
(10765|10783) pub12->wait_match() before write for 0103000c.297a35f2.2a0d2303.00000002(472c3302)
(10765|10773) pub6  writer id: 0103000c.297a35f2.2a0d22fd.00000002(7b740e9b)
(10765|10773) pub6->started
(10765|10773) pub6->wait_match() before write for 0103000c.297a35f2.2a0d22fd.00000002(7b740e9b)
(10765|10807) pub36->transport cfg_36
(10765|10790) pub19->transport cfg_19
(10765|10795) pub24->transport cfg_24
(10765|10784) pub13  writer id: 0103000c.297a35f2.2a0d2304.00000002(f50cef12)
(10765|10784) pub13->started
(10765|10784) pub13->wait_match() before write for 0103000c.297a35f2.2a0d2304.00000002(f50cef12)
(10765|10786) pub15->transport cfg_15
(10765|10787) pub16->transport cfg_16
(10765|10797) pub26->transport cfg_26
(10765|10803) pub32->transport cfg_32
(10765|10791) pub20->transport cfg_20
(10765|10817) pub46->transport cfg_46
(10765|10801) pub30->transport cfg_30
(10765|10785) pub14->transport cfg_14
(10765|10788) pub17->transport cfg_17
(10765|10812) pub41->transport cfg_41
(10765|10808) pub37->transport cfg_37
(10765|10799) pub28->transport cfg_28
(10765|10802) pub31->transport cfg_31
(10765|10793) pub22->transport cfg_22
(10765|10782) pub11  writer id: 0103000c.297a35f2.2a0d2302.00000002(7a4c1ab2)
(10765|10782) pub11->started
(10765|10782) pub11->wait_match() before write for 0103000c.297a35f2.2a0d2302.00000002(7a4c1ab2)
(10765|10806) pub35->transport cfg_35
(10765|10792) pub21->transport cfg_21
(10765|10822) pub51  writer id: 0103000c.297a35f2.2a0d232a.00000002(8bfd7e77)
(10765|10822) pub51->started
(10765|10822) pub51->wait_match() before write for 0103000c.297a35f2.2a0d232a.00000002(8bfd7e77)
(10765|10820) pub49->transport cfg_49
(10765|10796) pub25->transport cfg_25
(10765|10809) pub38->transport cfg_38
(10765|10804) pub34->transport cfg_34
(10765|10789) pub18  writer id: 0103000c.297a35f2.2a0d230b.00000002(775c78c3)
(10765|10789) pub18->started
(10765|10789) pub18->wait_match() before write for 0103000c.297a35f2.2a0d230b.00000002(775c78c3)
(10765|10834) pub62->transport cfg_62
(10765|10818) pub47->transport cfg_47
(10765|10794) pub23->transport cfg_23
(10765|10790) pub19  writer id: 0103000c.297a35f2.2a0d230a.00000002(4a3c5173)
(10765|10790) pub19->started
(10765|10790) pub19->wait_match() before write for 0103000c.297a35f2.2a0d230a.00000002(4a3c5173)
(10765|10807) pub36  writer id: 0103000c.297a35f2.2a0d2315.00000002(a88c5120)
(10765|10807) pub36->started
(10765|10807) pub36->wait_match() before write for 0103000c.297a35f2.2a0d2315.00000002(a88c5120)
(10765|10814) pub43->transport cfg_43
(10765|10805) pub33->transport cfg_33
(10765|10798) pub27->transport cfg_27
(10765|10835) pub63->transport cfg_63
(10765|10830) pub58->transport cfg_58
(10765|10797) pub26  writer id: 0103000c.297a35f2.2a0d2310.00000002(606cde50)
(10765|10797) pub26->started
(10765|10828) pub56->transport cfg_56
(10765|10825) pub54->transport cfg_54
(10765|10826) pub55->transport cfg_55
(10765|10824) pub53->transport cfg_53
(10765|10795) pub24  writer id: 0103000c.297a35f2.2a0d230f.00000002(82dcde03)
(10765|10795) pub24->started
(10765|10795) pub24->wait_match() before write for 0103000c.297a35f2.2a0d230f.00000002(82dcde03)
(10765|10810) pub39->transport cfg_39
(10765|10786) pub15  writer id: 0103000c.297a35f2.2a0d2306.00000002(8fccbc72)
(10765|10786) pub15->started
(10765|10786) pub15->wait_match() before write for 0103000c.297a35f2.2a0d2306.00000002(8fccbc72)
(10765|10819) pub48->transport cfg_48
(10765|10833) pub61->transport cfg_61
(10765|10832) pub60->transport cfg_60
(10765|10831) pub59->transport cfg_59
(10765|10797) pub26->wait_match() before write for 0103000c.297a35f2.2a0d2310.00000002(606cde50)
(10765|10817) pub46  writer id: 0103000c.297a35f2.2a0d2325.00000002(09ade9a6)
(10765|10817) pub46->started
(10765|10817) pub46->wait_match() before write for 0103000c.297a35f2.2a0d2325.00000002(09ade9a6)
(10765|10816) pub44->transport cfg_44
(10765|10801) pub30  writer id: 0103000c.297a35f2.2a0d2314.00000002(95ec7890)
(10765|10801) pub30->started
(10765|10801) pub30->wait_match() before write for 0103000c.297a35f2.2a0d2314.00000002(95ec7890)
(10765|10803) pub32  writer id: 0103000c.297a35f2.2a0d2317.00000002(d24c0240)
(10765|10803) pub32->started
(10765|10803) pub32->wait_match() before write for 0103000c.297a35f2.2a0d2317.00000002(d24c0240)
(10765|10787) pub16  writer id: 0103000c.297a35f2.2a0d2307.00000002(b2ac95c2)
(10765|10787) pub16->started
(10765|10787) pub16->wait_match() before write for 0103000c.297a35f2.2a0d2307.00000002(b2ac95c2)
(10765|10793) pub22  writer id: 0103000c.297a35f2.2a0d230d.00000002(f81c8d63)
(10765|10793) pub22->started
(10765|10793) pub22->wait_match() before write for 0103000c.297a35f2.2a0d230d.00000002(f81c8d63)
(10765|10791) pub20  writer id: 0103000c.297a35f2.2a0d2309.00000002(0d9c2ba3)
(10765|10791) pub20->started
(10765|10791) pub20->wait_match() before write for 0103000c.297a35f2.2a0d2309.00000002(0d9c2ba3)
(10765|10813) pub42->transport cfg_42
(10765|10821) pub50->transport cfg_50
(10765|10808) pub37  writer id: 0103000c.297a35f2.2a0d231c.00000002(a59c3351)
(10765|10808) pub37->started
(10765|10808) pub37->wait_match() before write for 0103000c.297a35f2.2a0d231c.00000002(a59c3351)
(10765|10811) pub40->transport cfg_40
(10765|10799) pub28  writer id: 0103000c.297a35f2.2a0d2312.00000002(1aac8d30)
(10765|10812) pub41  writer id: 0103000c.297a35f2.2a0d2320.00000002(c14d66d6)
(10765|10812) pub41->started
(10765|10812) pub41->wait_match() before write for 0103000c.297a35f2.2a0d2320.00000002(c14d66d6)
(10765|10802) pub31  writer id: 0103000c.297a35f2.2a0d2316.00000002(ef2c2bf0)
(10765|10802) pub31->started
(10765|10802) pub31->wait_match() before write for 0103000c.297a35f2.2a0d2316.00000002(ef2c2bf0)
(10765|10823) pub52->transport cfg_52
(10765|10804) pub34  writer id: 0103000c.297a35f2.2a0d2319.00000002(6d7cbc21)
(10765|10806) pub35  writer id: 0103000c.297a35f2.2a0d231a.00000002(2adcc6f1)
(10765|10829) pub57->transport cfg_57
(10765|10834) pub62  writer id: 0103000c.297a35f2.2a0d2331.00000002(9ccdd8e4)
(10765|10834) pub62->started
(10765|10834) pub62->wait_match() before write for 0103000c.297a35f2.2a0d2331.00000002(9ccdd8e4)
(10765|10815) pub45->transport cfg_45
(10765|10788) pub17  writer id: 0103000c.297a35f2.2a0d2308.00000002(30fc0213)
(10765|10788) pub17->started
(10765|10788) pub17->wait_match() before write for 0103000c.297a35f2.2a0d2308.00000002(30fc0213)
(10765|10820) pub49  writer id: 0103000c.297a35f2.2a0d2328.00000002(f13d2d17)
(10765|10820) pub49->started
(10765|10820) pub49->wait_match() before write for 0103000c.297a35f2.2a0d2328.00000002(f13d2d17)
(10765|10809) pub38  writer id: 0103000c.297a35f2.2a0d231d.00000002(98fc1ae1)
(10765|10809) pub38->started
(10765|10809) pub38->wait_match() before write for 0103000c.297a35f2.2a0d231d.00000002(98fc1ae1)
(10765|10792) pub21  writer id: 0103000c.297a35f2.2a0d230c.00000002(c57ca4d3)
(10765|10796) pub25  writer id: 0103000c.297a35f2.2a0d2311.00000002(5d0cf7e0)
(10765|10796) pub25->started
(10765|10796) pub25->wait_match() before write for 0103000c.297a35f2.2a0d2311.00000002(5d0cf7e0)
(10765|10799) pub28->started
(10765|10799) pub28->wait_match() before write for 0103000c.297a35f2.2a0d2312.00000002(1aac8d30)
(10765|10814) pub43  writer id: 0103000c.297a35f2.2a0d2322.00000002(bb8d35b6)
(10765|10814) pub43->started
(10765|10814) pub43->wait_match() before write for 0103000c.297a35f2.2a0d2322.00000002(bb8d35b6)
(10765|10805) pub33  writer id: 0103000c.297a35f2.2a0d2318.00000002(501c9591)
(10765|10805) pub33->started
(10765|10805) pub33->wait_match() before write for 0103000c.297a35f2.2a0d2318.00000002(501c9591)
(10765|10835) pub63  writer id: 0103000c.297a35f2.2a0d2330.00000002(a1adf154)
(10765|10835) pub63->started
(10765|10835) pub63->wait_match() before write for 0103000c.297a35f2.2a0d2330.00000002(a1adf154)
(10765|10794) pub23  writer id: 0103000c.297a35f2.2a0d230e.00000002(bfbcf7b3)
(10765|10794) pub23->started
(10765|10794) pub23->wait_match() before write for 0103000c.297a35f2.2a0d230e.00000002(bfbcf7b3)
(10765|10785) pub14  writer id: 0103000c.297a35f2.2a0d2305.00000002(c86cc6a2)
(10765|10785) pub14->started
(10765|10785) pub14->wait_match() before write for 0103000c.297a35f2.2a0d2305.00000002(c86cc6a2)
(10765|10830) pub58  writer id: 0103000c.297a35f2.2a0d232e.00000002(7e7dd8b7)
(10765|10804) pub34->started
(10765|10804) pub34->wait_match() before write for 0103000c.297a35f2.2a0d2319.00000002(6d7cbc21)
(10765|10806) pub35->started
(10765|10806) pub35->wait_match() before write for 0103000c.297a35f2.2a0d231a.00000002(2adcc6f1)
(10765|10818) pub47  writer id: 0103000c.297a35f2.2a0d2326.00000002(4e0d9376)
(10765|10818) pub47->started
(10765|10818) pub47->wait_match() before write for 0103000c.297a35f2.2a0d2326.00000002(4e0d9376)
(10765|10828) pub56  writer id: 0103000c.297a35f2.2a0d2333.00000002(e60d8b84)
(10765|10828) pub56->started
(10765|10828) pub56->wait_match() before write for 0103000c.297a35f2.2a0d2333.00000002(e60d8b84)
(10765|10798) pub27  writer id: 0103000c.297a35f2.2a0d231b.00000002(17bcef41)
(10765|10798) pub27->started
(10765|10792) pub21->started
(10765|10792) pub21->wait_match() before write for 0103000c.297a35f2.2a0d230c.00000002(c57ca4d3)
(10765|10826) pub55  writer id: 0103000c.297a35f2.2a0d232c.00000002(04bd8bd7)
(10765|10826) pub55->started
(10765|10826) pub55->wait_match() before write for 0103000c.297a35f2.2a0d232c.00000002(04bd8bd7)
(10765|10819) pub48  writer id: 0103000c.297a35f2.2a0d2327.00000002(736dbac6)
(10765|10819) pub48->started
(10765|10819) pub48->wait_match() before write for 0103000c.297a35f2.2a0d2327.00000002(736dbac6)
(10765|10832) pub60  writer id: 0103000c.297a35f2.2a0d2334.00000002(542d5794)
(10765|10832) pub60->started
(10765|10832) pub60->wait_match() before write for 0103000c.297a35f2.2a0d2334.00000002(542d5794)
(10765|10825) pub54  writer id: 0103000c.297a35f2.2a0d232b.00000002(b69d57c7)
(10765|10825) pub54->started
(10765|10825) pub54->wait_match() before write for 0103000c.297a35f2.2a0d232b.00000002(b69d57c7)
(10765|10810) pub39  writer id: 0103000c.297a35f2.2a0d231e.00000002(df5c6031)
(10765|10810) pub39->started
(10765|10810) pub39->wait_match() before write for 0103000c.297a35f2.2a0d231e.00000002(df5c6031)
(10765|10830) pub58->started
(10765|10830) pub58->wait_match() before write for 0103000c.297a35f2.2a0d232e.00000002(7e7dd8b7)
(10765|10824) pub53  writer id: 0103000c.297a35f2.2a0d2336.00000002(2eed04f4)
(10765|10824) pub53->started
(10765|10824) pub53->wait_match() before write for 0103000c.297a35f2.2a0d2336.00000002(2eed04f4)
(10765|10831) pub59  writer id: 0103000c.297a35f2.2a0d232f.00000002(431df107)
(10765|10831) pub59->started
(10765|10831) pub59->wait_match() before write for 0103000c.297a35f2.2a0d232f.00000002(431df107)
(10765|10833) pub61  writer id: 0103000c.297a35f2.2a0d2335.00000002(694d7e24)
(10765|10833) pub61->started
(10765|10833) pub61->wait_match() before write for 0103000c.297a35f2.2a0d2335.00000002(694d7e24)
(10765|10798) pub27->wait_match() before write for 0103000c.297a35f2.2a0d231b.00000002(17bcef41)
(10765|10821) pub50  writer id: 0103000c.297a35f2.2a0d2329.00000002(cc5d04a7)
(10765|10821) pub50->started
(10765|10821) pub50->wait_match() before write for 0103000c.297a35f2.2a0d2329.00000002(cc5d04a7)
(10765|10813) pub42  writer id: 0103000c.297a35f2.2a0d2321.00000002(fc2d4f66)
(10765|10813) pub42->started
(10765|10813) pub42->wait_match() before write for 0103000c.297a35f2.2a0d2321.00000002(fc2d4f66)
(10765|10823) pub52  writer id: 0103000c.297a35f2.2a0d2332.00000002(db6da234)
(10765|10823) pub52->started
(10765|10823) pub52->wait_match() before write for 0103000c.297a35f2.2a0d2332.00000002(db6da234)
(10765|10811) pub40  writer id: 0103000c.297a35f2.2a0d231f.00000002(e23c4981)
(10765|10811) pub40->started
(10765|10811) pub40->wait_match() before write for 0103000c.297a35f2.2a0d231f.00000002(e23c4981)
(10765|10829) pub57  writer id: 0103000c.297a35f2.2a0d232d.00000002(39dda267)
(10765|10829) pub57->started
(10765|10829) pub57->wait_match() before write for 0103000c.297a35f2.2a0d232d.00000002(39dda267)
(10765|10816) pub44  writer id: 0103000c.297a35f2.2a0d2323.00000002(86ed1c06)
(10765|10816) pub44->started
(10765|10816) pub44->wait_match() before write for 0103000c.297a35f2.2a0d2323.00000002(86ed1c06)
(10765|10815) pub45  writer id: 0103000c.297a35f2.2a0d2324.00000002(34cdc016)
(10765|10815) pub45->started
(10765|10815) pub45->wait_match() before write for 0103000c.297a35f2.2a0d2324.00000002(34cdc016)
(10765|10772) pub2<-match found! before write for 0103000c.297a35f2.2a0d22f9.00000002(8ef4a85b)
(10765|10772) pub2  12% (2 samples sent)
(10765|10772) pub2  25% (4 samples sent)
(10765|10772) pub2  31% (5 samples sent)
(10765|10772) pub2  43% (7 samples sent)
(10765|10772) pub2  50% (8 samples sent)
(10765|10772) pub2  62% (10 samples sent)
(10765|10772) pub2  75% (12 samples sent)
(10765|10772) pub2  81% (13 samples sent)
(10765|10772) pub2  93% (15 samples sent)
(10765|10772) pub2  100% (16 samples sent)
(10765|10772) pub2  waiting for acks
(10765|10772) pub2  waiting for acks returned
(10765|10772) pub2<-delete_contained_entities
(10765|10772) pub2<-delete_participant
(10765|10806) pub35<-match found! before write for 0103000c.297a35f2.2a0d231a.00000002(2adcc6f1)
(10765|10806) pub35  12% (2 samples sent)
(10765|10806) pub35  25% (4 samples sent)
(10765|10806) pub35  31% (5 samples sent)
(10765|10806) pub35  43% (7 samples sent)
(10765|10806) pub35  50% (8 samples sent)
(10765|10806) pub35  62% (10 samples sent)
(10765|10806) pub35  75% (12 samples sent)
(10765|10806) pub35  81% (13 samples sent)
(10765|10806) pub35  93% (15 samples sent)
(10765|10806) pub35  100% (16 samples sent)
(10765|10806) pub35  waiting for acks
(10765|10780) pub10<-match found! before write for 0103000c.297a35f2.2a0d2301.00000002(3dec6062)
(10765|10780) pub10  12% (2 samples sent)
(10765|10780) pub10  25% (4 samples sent)
(10765|10780) pub10  31% (5 samples sent)
(10765|10780) pub10  43% (7 samples sent)
(10765|10780) pub10  50% (8 samples sent)
(10765|10780) pub10  62% (10 samples sent)
(10765|10780) pub10  75% (12 samples sent)
(10765|10780) pub10  81% (13 samples sent)
(10765|10780) pub10  93% (15 samples sent)
(10765|10780) pub10  100% (16 samples sent)
(10765|10780) pub10  waiting for acks
(10765|10793) pub22<-match found! before write for 0103000c.297a35f2.2a0d230d.00000002(f81c8d63)
(10765|10793) pub22  12% (2 samples sent)
(10765|10793) pub22  25% (4 samples sent)
(10765|10793) pub22  31% (5 samples sent)
(10765|10793) pub22  43% (7 samples sent)
(10765|10793) pub22  50% (8 samples sent)
(10765|10793) pub22  62% (10 samples sent)
(10765|10793) pub22  75% (12 samples sent)
(10765|10793) pub22  81% (13 samples sent)
(10765|10793) pub22  93% (15 samples sent)
(10765|10793) pub22  100% (16 samples sent)
(10765|10793) pub22  waiting for acks
(10765|10774) pub3<-match found! before write for 0103000c.297a35f2.2a0d22fa.00000002(c954d28b)
(10765|10774) pub3  12% (2 samples sent)
(10765|10774) pub3  25% (4 samples sent)
(10765|10774) pub3  31% (5 samples sent)
(10765|10774) pub3  43% (7 samples sent)
(10765|10774) pub3  50% (8 samples sent)
(10765|10774) pub3  62% (10 samples sent)
(10765|10774) pub3  75% (12 samples sent)
(10765|10774) pub3  81% (13 samples sent)
(10765|10774) pub3  93% (15 samples sent)
(10765|10774) pub3  100% (16 samples sent)
(10765|10774) pub3  waiting for acks
(10765|10804) pub34<-match found! before write for 0103000c.297a35f2.2a0d2319.00000002(6d7cbc21)
(10765|10804) pub34  12% (2 samples sent)
(10765|10804) pub34  25% (4 samples sent)
(10765|10804) pub34  31% (5 samples sent)
(10765|10804) pub34  43% (7 samples sent)
(10765|10804) pub34  50% (8 samples sent)
(10765|10804) pub34  62% (10 samples sent)
(10765|10804) pub34  75% (12 samples sent)
(10765|10804) pub34  81% (13 samples sent)
(10765|10804) pub34  93% (15 samples sent)
(10765|10804) pub34  100% (16 samples sent)
(10765|10804) pub34  waiting for acks
(10765|10809) pub38<-match found! before write for 0103000c.297a35f2.2a0d231d.00000002(98fc1ae1)
(10765|10809) pub38  12% (2 samples sent)
(10765|10809) pub38  25% (4 samples sent)
(10765|10809) pub38  31% (5 samples sent)
(10765|10809) pub38  43% (7 samples sent)
(10765|10809) pub38  50% (8 samples sent)
(10765|10809) pub38  62% (10 samples sent)
(10765|10809) pub38  75% (12 samples sent)
(10765|10809) pub38  81% (13 samples sent)
(10765|10809) pub38  93% (15 samples sent)
(10765|10809) pub38  100% (16 samples sent)
(10765|10809) pub38  waiting for acks
(10765|10824) pub53<-match found! before write for 0103000c.297a35f2.2a0d2336.00000002(2eed04f4)
(10765|10824) pub53  12% (2 samples sent)
(10765|10824) pub53  25% (4 samples sent)
(10765|10824) pub53  31% (5 samples sent)
(10765|10824) pub53  43% (7 samples sent)
(10765|10824) pub53  50% (8 samples sent)
(10765|10824) pub53  62% (10 samples sent)
(10765|10824) pub53  75% (12 samples sent)
(10765|10824) pub53  81% (13 samples sent)
(10765|10824) pub53  93% (15 samples sent)
(10765|10824) pub53  100% (16 samples sent)
(10765|10824) pub53  waiting for acks
(10765|10793) pub22  waiting for acks returned
(10765|10793) pub22<-delete_contained_entities
(10765|10793) pub22<-delete_participant
(10765|10773) pub6<-match found! before write for 0103000c.297a35f2.2a0d22fd.00000002(7b740e9b)
(10765|10773) pub6  12% (2 samples sent)
(10765|10773) pub6  25% (4 samples sent)
(10765|10773) pub6  31% (5 samples sent)
(10765|10773) pub6  43% (7 samples sent)
(10765|10773) pub6  50% (8 samples sent)
(10765|10773) pub6  62% (10 samples sent)
(10765|10773) pub6  75% (12 samples sent)
(10765|10773) pub6  81% (13 samples sent)
(10765|10773) pub6  93% (15 samples sent)
(10765|10773) pub6  100% (16 samples sent)
(10765|10773) pub6  waiting for acks
(10765|10780) pub10  waiting for acks returned
(10765|10780) pub10<-delete_contained_entities
(10765|10778) pub8<-match found! before write for 0103000c.297a35f2.2a0d22ff.00000002(01b45dfb)
(10765|10778) pub8  12% (2 samples sent)
(10765|10778) pub8  25% (4 samples sent)
(10765|10778) pub8  31% (5 samples sent)
(10765|10778) pub8  43% (7 samples sent)
(10765|10778) pub8  50% (8 samples sent)
(10765|10778) pub8  62% (10 samples sent)
(10765|10778) pub8  75% (12 samples sent)
(10765|10778) pub8  81% (13 samples sent)
(10765|10778) pub8  93% (15 samples sent)
(10765|10778) pub8  100% (16 samples sent)
(10765|10778) pub8  waiting for acks
(10765|10780) pub10<-delete_participant
(10765|10814) pub43<-match found! before write for 0103000c.297a35f2.2a0d2322.00000002(bb8d35b6)
(10765|10814) pub43  12% (2 samples sent)
(10765|10814) pub43  25% (4 samples sent)
(10765|10814) pub43  31% (5 samples sent)
(10765|10814) pub43  43% (7 samples sent)
(10765|10814) pub43  50% (8 samples sent)
(10765|10814) pub43  62% (10 samples sent)
(10765|10814) pub43  75% (12 samples sent)
(10765|10814) pub43  81% (13 samples sent)
(10765|10814) pub43  93% (15 samples sent)
(10765|10814) pub43  100% (16 samples sent)
(10765|10814) pub43  waiting for acks
(10765|10814) pub43  waiting for acks returned
(10765|10814) pub43<-delete_contained_entities
(10765|10814) pub43<-delete_participant
(10765|10779) pub7<-match found! before write for 0103000c.297a35f2.2a0d22fe.00000002(3cd4744b)
(10765|10779) pub7  12% (2 samples sent)
(10765|10779) pub7  25% (4 samples sent)
(10765|10779) pub7  31% (5 samples sent)
(10765|10779) pub7  43% (7 samples sent)
(10765|10779) pub7  50% (8 samples sent)
(10765|10779) pub7  62% (10 samples sent)
(10765|10779) pub7  75% (12 samples sent)
(10765|10779) pub7  81% (13 samples sent)
(10765|10779) pub7  93% (15 samples sent)
(10765|10779) pub7  100% (16 samples sent)
(10765|10779) pub7  waiting for acks
(10765|10787) pub16<-match found! before write for 0103000c.297a35f2.2a0d2307.00000002(b2ac95c2)
(10765|10787) pub16  12% (2 samples sent)
(10765|10787) pub16  25% (4 samples sent)
(10765|10787) pub16  31% (5 samples sent)
(10765|10787) pub16  43% (7 samples sent)
(10765|10787) pub16  50% (8 samples sent)
(10765|10787) pub16  62% (10 samples sent)
(10765|10787) pub16  75% (12 samples sent)
(10765|10787) pub16  81% (13 samples sent)
(10765|10787) pub16  93% (15 samples sent)
(10765|10787) pub16  100% (16 samples sent)
(10765|10787) pub16  waiting for acks
(10765|10787) pub16  waiting for acks returned
(10765|10787) pub16<-delete_contained_entities
(10765|10801) pub30<-match found! before write for 0103000c.297a35f2.2a0d2314.00000002(95ec7890)
(10765|10801) pub30  12% (2 samples sent)
(10765|10801) pub30  25% (4 samples sent)
(10765|10801) pub30  31% (5 samples sent)
(10765|10801) pub30  43% (7 samples sent)
(10765|10801) pub30  50% (8 samples sent)
(10765|10801) pub30  62% (10 samples sent)
(10765|10801) pub30  75% (12 samples sent)
(10765|10801) pub30  81% (13 samples sent)
(10765|10801) pub30  93% (15 samples sent)
(10765|10801) pub30  100% (16 samples sent)
(10765|10801) pub30  waiting for acks
(10765|10774) pub3  waiting for acks returned
(10765|10774) pub3<-delete_contained_entities
(10765|10834) pub62<-match found! before write for 0103000c.297a35f2.2a0d2331.00000002(9ccdd8e4)
(10765|10834) pub62  12% (2 samples sent)
(10765|10834) pub62  25% (4 samples sent)
(10765|10834) pub62  31% (5 samples sent)
(10765|10834) pub62  43% (7 samples sent)
(10765|10834) pub62  50% (8 samples sent)
(10765|10834) pub62  62% (10 samples sent)
(10765|10834) pub62  75% (12 samples sent)
(10765|10834) pub62  81% (13 samples sent)
(10765|10834) pub62  93% (15 samples sent)
(10765|10834) pub62  100% (16 samples sent)
(10765|10834) pub62  waiting for acks
(10765|10787) pub16<-delete_participant
(10765|10774) pub3<-delete_participant
(10765|10783) pub12<-match found! before write for 0103000c.297a35f2.2a0d2303.00000002(472c3302)
(10765|10783) pub12  12% (2 samples sent)
(10765|10783) pub12  25% (4 samples sent)
(10765|10783) pub12  31% (5 samples sent)
(10765|10783) pub12  43% (7 samples sent)
(10765|10783) pub12  50% (8 samples sent)
(10765|10783) pub12  62% (10 samples sent)
(10765|10783) pub12  75% (12 samples sent)
(10765|10783) pub12  81% (13 samples sent)
(10765|10783) pub12  93% (15 samples sent)
(10765|10783) pub12  100% (16 samples sent)
(10765|10783) pub12  waiting for acks
(10765|10823) pub52<-match found! before write for 0103000c.297a35f2.2a0d2332.00000002(db6da234)
(10765|10823) pub52  12% (2 samples sent)
(10765|10823) pub52  25% (4 samples sent)
(10765|10823) pub52  31% (5 samples sent)
(10765|10823) pub52  43% (7 samples sent)
(10765|10823) pub52  50% (8 samples sent)
(10765|10823) pub52  62% (10 samples sent)
(10765|10823) pub52  75% (12 samples sent)
(10765|10823) pub52  81% (13 samples sent)
(10765|10823) pub52  93% (15 samples sent)
(10765|10823) pub52  100% (16 samples sent)
(10765|10823) pub52  waiting for acks
(10765|10782) pub11<-match found! before write for 0103000c.297a35f2.2a0d2302.00000002(7a4c1ab2)
(10765|10782) pub11  12% (2 samples sent)
(10765|10782) pub11  25% (4 samples sent)
(10765|10782) pub11  31% (5 samples sent)
(10765|10782) pub11  43% (7 samples sent)
(10765|10782) pub11  50% (8 samples sent)
(10765|10782) pub11  62% (10 samples sent)
(10765|10782) pub11  75% (12 samples sent)
(10765|10782) pub11  81% (13 samples sent)
(10765|10782) pub11  93% (15 samples sent)
(10765|10782) pub11  100% (16 samples sent)
(10765|10782) pub11  waiting for acks
(10765|10770)  sub 10% (103 samples received)
(10765|10806) pub35  waiting for acks returned
(10765|10806) pub35<-delete_contained_entities
(10765|10806) pub35<-delete_participant
(10765|10828) pub56<-match found! before write for 0103000c.297a35f2.2a0d2333.00000002(e60d8b84)
(10765|10828) pub56  12% (2 samples sent)
(10765|10828) pub56  25% (4 samples sent)
(10765|10828) pub56  31% (5 samples sent)
(10765|10828) pub56  43% (7 samples sent)
(10765|10828) pub56  50% (8 samples sent)
(10765|10828) pub56  62% (10 samples sent)
(10765|10828) pub56  75% (12 samples sent)
(10765|10828) pub56  81% (13 samples sent)
(10765|10828) pub56  93% (15 samples sent)
(10765|10828) pub56  100% (16 samples sent)
(10765|10828) pub56  waiting for acks
(10765|10776) pub4<-match found! before write for 0103000c.297a35f2.2a0d22fb.00000002(f434fb3b)
(10765|10776) pub4  12% (2 samples sent)
(10765|10776) pub4  25% (4 samples sent)
(10765|10776) pub4  31% (5 samples sent)
(10765|10776) pub4  43% (7 samples sent)
(10765|10776) pub4  50% (8 samples sent)
(10765|10776) pub4  62% (10 samples sent)
(10765|10776) pub4  75% (12 samples sent)
(10765|10776) pub4  81% (13 samples sent)
(10765|10776) pub4  93% (15 samples sent)
(10765|10776) pub4  100% (16 samples sent)
(10765|10776) pub4  waiting for acks
(10765|10809) pub38  waiting for acks returned
(10765|10809) pub38<-delete_contained_entities
(10765|10809) pub38<-delete_participant
(10765|10791) pub20<-match found! before write for 0103000c.297a35f2.2a0d2309.00000002(0d9c2ba3)
(10765|10791) pub20  12% (2 samples sent)
(10765|10791) pub20  25% (4 samples sent)
(10765|10791) pub20  31% (5 samples sent)
(10765|10791) pub20  43% (7 samples sent)
(10765|10791) pub20  50% (8 samples sent)
(10765|10791) pub20  62% (10 samples sent)
(10765|10791) pub20  75% (12 samples sent)
(10765|10791) pub20  81% (13 samples sent)
(10765|10791) pub20  93% (15 samples sent)
(10765|10791) pub20  100% (16 samples sent)
(10765|10791) pub20  waiting for acks
(10765|10816) pub44<-match found! before write for 0103000c.297a35f2.2a0d2323.00000002(86ed1c06)
(10765|10816) pub44  12% (2 samples sent)
(10765|10816) pub44  25% (4 samples sent)
(10765|10816) pub44  31% (5 samples sent)
(10765|10816) pub44  43% (7 samples sent)
(10765|10816) pub44  50% (8 samples sent)
(10765|10816) pub44  62% (10 samples sent)
(10765|10816) pub44  75% (12 samples sent)
(10765|10816) pub44  81% (13 samples sent)
(10765|10816) pub44  93% (15 samples sent)
(10765|10816) pub44  100% (16 samples sent)
(10765|10816) pub44  waiting for acks
(10765|10804) pub34  waiting for acks returned
(10765|10804) pub34<-delete_contained_entities
(10765|10824) pub53  waiting for acks returned
(10765|10824) pub53<-delete_contained_entities
(10765|10824) pub53<-delete_participant
(10765|10804) pub34<-delete_participant
(10765|10778) pub8  waiting for acks returned
(10765|10778) pub8<-delete_contained_entities
(10765|10778) pub8<-delete_participant
(10765|10822) pub51<-match found! before write for 0103000c.297a35f2.2a0d232a.00000002(8bfd7e77)
(10765|10822) pub51  12% (2 samples sent)
(10765|10822) pub51  25% (4 samples sent)
(10765|10822) pub51  31% (5 samples sent)
(10765|10822) pub51  43% (7 samples sent)
(10765|10822) pub51  50% (8 samples sent)
(10765|10822) pub51  62% (10 samples sent)
(10765|10822) pub51  75% (12 samples sent)
(10765|10822) pub51  81% (13 samples sent)
(10765|10822) pub51  93% (15 samples sent)
(10765|10822) pub51  100% (16 samples sent)
(10765|10822) pub51  waiting for acks
(10765|10773) pub6  waiting for acks returned
(10765|10773) pub6<-delete_contained_entities
(10765|10770)  sub 20% (205 samples received)
(10765|10782) pub11  waiting for acks returned
(10765|10782) pub11<-delete_contained_entities
(10765|10773) pub6<-delete_participant
(10765|10782) pub11<-delete_participant
(10765|10819) pub48<-match found! before write for 0103000c.297a35f2.2a0d2327.00000002(736dbac6)
(10765|10819) pub48  12% (2 samples sent)
(10765|10819) pub48  25% (4 samples sent)
(10765|10819) pub48  31% (5 samples sent)
(10765|10819) pub48  43% (7 samples sent)
(10765|10819) pub48  50% (8 samples sent)
(10765|10819) pub48  62% (10 samples sent)
(10765|10819) pub48  75% (12 samples sent)
(10765|10819) pub48  81% (13 samples sent)
(10765|10819) pub48  93% (15 samples sent)
(10765|10819) pub48  100% (16 samples sent)
(10765|10819) pub48  waiting for acks
(10765|10819) pub48  waiting for acks returned
(10765|10819) pub48<-delete_contained_entities
(10765|10819) pub48<-delete_participant
(10765|10808) pub37<-match found! before write for 0103000c.297a35f2.2a0d231c.00000002(a59c3351)
(10765|10808) pub37  12% (2 samples sent)
(10765|10808) pub37  25% (4 samples sent)
(10765|10808) pub37  31% (5 samples sent)
(10765|10808) pub37  43% (7 samples sent)
(10765|10808) pub37  50% (8 samples sent)
(10765|10808) pub37  62% (10 samples sent)
(10765|10808) pub37  75% (12 samples sent)
(10765|10808) pub37  81% (13 samples sent)
(10765|10808) pub37  93% (15 samples sent)
(10765|10808) pub37  100% (16 samples sent)
(10765|10808) pub37  waiting for acks
(10765|10808) pub37  waiting for acks returned
(10765|10808) pub37<-delete_contained_entities
(10765|10834) pub62  waiting for acks returned
(10765|10834) pub62<-delete_contained_entities
(10765|10808) pub37<-delete_participant
(10765|10834) pub62<-delete_participant
(10765|10812) pub41<-match found! before write for 0103000c.297a35f2.2a0d2320.00000002(c14d66d6)
(10765|10812) pub41  12% (2 samples sent)
(10765|10812) pub41  25% (4 samples sent)
(10765|10812) pub41  31% (5 samples sent)
(10765|10812) pub41  43% (7 samples sent)
(10765|10812) pub41  50% (8 samples sent)
(10765|10812) pub41  62% (10 samples sent)
(10765|10812) pub41  75% (12 samples sent)
(10765|10801) pub30  waiting for acks returned
(10765|10801) pub30<-delete_contained_entities
(10765|10812) pub41  81% (13 samples sent)
(10765|10812) pub41  93% (15 samples sent)
(10765|10812) pub41  100% (16 samples sent)
(10765|10812) pub41  waiting for acks
(10765|10779) pub7  waiting for acks returned
(10765|10779) pub7<-delete_contained_entities
(10765|10801) pub30<-delete_participant
(10765|10828) pub56  waiting for acks returned
(10765|10828) pub56<-delete_contained_entities
(10765|10779) pub7<-delete_participant
(10765|10770)  sub 30% (308 samples received)
(10765|10823) pub52  waiting for acks returned
(10765|10823) pub52<-delete_contained_entities
(10765|10828) pub56<-delete_participant
(10765|10783) pub12  waiting for acks returned
(10765|10783) pub12<-delete_contained_entities
(10765|10776) pub4  waiting for acks returned
(10765|10776) pub4<-delete_contained_entities
(10765|10823) pub52<-delete_participant
(10765|10777) pub1<-match found! before write for 0103000c.297a35f2.2a0d22f8.00000002(b39481eb)
(10765|10777) pub1  12% (2 samples sent)
(10765|10777) pub1  25% (4 samples sent)
(10765|10777) pub1  31% (5 samples sent)
(10765|10777) pub1  43% (7 samples sent)
(10765|10777) pub1  50% (8 samples sent)
(10765|10777) pub1  62% (10 samples sent)
(10765|10777) pub1  75% (12 samples sent)
(10765|10777) pub1  81% (13 samples sent)
(10765|10777) pub1  93% (15 samples sent)
(10765|10777) pub1  100% (16 samples sent)
(10765|10777) pub1  waiting for acks
(10765|10777) pub1  waiting for acks returned
(10765|10777) pub1<-delete_contained_entities
(10765|10783) pub12<-delete_participant
(10765|10791) pub20  waiting for acks returned
(10765|10791) pub20<-delete_contained_entities
(10765|10797) pub26<-match found! before write for 0103000c.297a35f2.2a0d2310.00000002(606cde50)
(10765|10797) pub26  12% (2 samples sent)
(10765|10797) pub26  25% (4 samples sent)
(10765|10797) pub26  31% (5 samples sent)
(10765|10797) pub26  43% (7 samples sent)
(10765|10797) pub26  50% (8 samples sent)
(10765|10797) pub26  62% (10 samples sent)
(10765|10797) pub26  75% (12 samples sent)
(10765|10797) pub26  81% (13 samples sent)
(10765|10797) pub26  93% (15 samples sent)
(10765|10797) pub26  100% (16 samples sent)
(10765|10797) pub26  waiting for acks
(10765|10776) pub4<-delete_participant
(10765|10797) pub26  waiting for acks returned
(10765|10797) pub26<-delete_contained_entities
(10765|10794) pub23<-match found! before write for 0103000c.297a35f2.2a0d230e.00000002(bfbcf7b3)
(10765|10794) pub23  12% (2 samples sent)
(10765|10794) pub23  25% (4 samples sent)
(10765|10794) pub23  31% (5 samples sent)
(10765|10794) pub23  43% (7 samples sent)
(10765|10794) pub23  50% (8 samples sent)
(10765|10794) pub23  62% (10 samples sent)
(10765|10794) pub23  75% (12 samples sent)
(10765|10794) pub23  81% (13 samples sent)
(10765|10794) pub23  93% (15 samples sent)
(10765|10794) pub23  100% (16 samples sent)
(10765|10794) pub23  waiting for acks
(10765|10770)  sub 40% (410 samples received)
(10765|10777) pub1<-delete_participant
(10765|10794) pub23  waiting for acks returned
(10765|10794) pub23<-delete_contained_entities
(10765|10791) pub20<-delete_participant
(10765|10797) pub26<-delete_participant
(10765|10807) pub36<-match found! before write for 0103000c.297a35f2.2a0d2315.00000002(a88c5120)
(10765|10807) pub36  12% (2 samples sent)
(10765|10807) pub36  25% (4 samples sent)
(10765|10807) pub36  31% (5 samples sent)
(10765|10807) pub36  43% (7 samples sent)
(10765|10807) pub36  50% (8 samples sent)
(10765|10807) pub36  62% (10 samples sent)
(10765|10807) pub36  75% (12 samples sent)
(10765|10807) pub36  81% (13 samples sent)
(10765|10807) pub36  93% (15 samples sent)
(10765|10807) pub36  100% (16 samples sent)
(10765|10807) pub36  waiting for acks
(10765|10805) pub33<-match found! before write for 0103000c.297a35f2.2a0d2318.00000002(501c9591)
(10765|10805) pub33  12% (2 samples sent)
(10765|10805) pub33  25% (4 samples sent)
(10765|10805) pub33  31% (5 samples sent)
(10765|10805) pub33  43% (7 samples sent)
(10765|10805) pub33  50% (8 samples sent)
(10765|10805) pub33  62% (10 samples sent)
(10765|10805) pub33  75% (12 samples sent)
(10765|10805) pub33  81% (13 samples sent)
(10765|10805) pub33  93% (15 samples sent)
(10765|10805) pub33  100% (16 samples sent)
(10765|10805) pub33  waiting for acks
(10765|10821) pub50<-match found! before write for 0103000c.297a35f2.2a0d2329.00000002(cc5d04a7)
(10765|10821) pub50  12% (2 samples sent)
(10765|10821) pub50  25% (4 samples sent)
(10765|10821) pub50  31% (5 samples sent)
(10765|10821) pub50  43% (7 samples sent)
(10765|10821) pub50  50% (8 samples sent)
(10765|10821) pub50  62% (10 samples sent)
(10765|10821) pub50  75% (12 samples sent)
(10765|10821) pub50  81% (13 samples sent)
(10765|10821) pub50  93% (15 samples sent)
(10765|10821) pub50  100% (16 samples sent)
(10765|10821) pub50  waiting for acks
(10765|10796) pub25<-match found! before write for 0103000c.297a35f2.2a0d2311.00000002(5d0cf7e0)
(10765|10796) pub25  12% (2 samples sent)
(10765|10796) pub25  25% (4 samples sent)
(10765|10796) pub25  31% (5 samples sent)
(10765|10796) pub25  43% (7 samples sent)
(10765|10796) pub25  50% (8 samples sent)
(10765|10796) pub25  62% (10 samples sent)
(10765|10796) pub25  75% (12 samples sent)
(10765|10796) pub25  81% (13 samples sent)
(10765|10796) pub25  93% (15 samples sent)
(10765|10796) pub25  100% (16 samples sent)
(10765|10796) pub25  waiting for acks
(10765|10821) pub50  waiting for acks returned
(10765|10821) pub50<-delete_contained_entities
(10765|10794) pub23<-delete_participant
(10765|10771) pub0<-match found! before write for 0103000c.297a35f2.2a0d22f7.00000002(31c4163a)
(10765|10771) pub0  12% (2 samples sent)
(10765|10771) pub0  25% (4 samples sent)
(10765|10771) pub0  31% (5 samples sent)
(10765|10771) pub0  43% (7 samples sent)
(10765|10771) pub0  50% (8 samples sent)
(10765|10771) pub0  62% (10 samples sent)
(10765|10771) pub0  75% (12 samples sent)
(10765|10771) pub0  81% (13 samples sent)
(10765|10771) pub0  93% (15 samples sent)
(10765|10771) pub0  100% (16 samples sent)
(10765|10771) pub0  waiting for acks
(10765|10771) pub0  waiting for acks returned
(10765|10771) pub0<-delete_contained_entities
(10765|10815) pub45<-match found! before write for 0103000c.297a35f2.2a0d2324.00000002(34cdc016)
(10765|10815) pub45  12% (2 samples sent)
(10765|10815) pub45  25% (4 samples sent)
(10765|10815) pub45  31% (5 samples sent)
(10765|10815) pub45  43% (7 samples sent)
(10765|10815) pub45  50% (8 samples sent)
(10765|10815) pub45  62% (10 samples sent)
(10765|10815) pub45  75% (12 samples sent)
(10765|10815) pub45  81% (13 samples sent)
(10765|10815) pub45  93% (15 samples sent)
(10765|10815) pub45  100% (16 samples sent)
(10765|10815) pub45  waiting for acks
(10765|10821) pub50<-delete_participant
(10765|10789) pub18<-match found! before write for 0103000c.297a35f2.2a0d230b.00000002(775c78c3)
(10765|10789) pub18  12% (2 samples sent)
(10765|10789) pub18  25% (4 samples sent)
(10765|10789) pub18  31% (5 samples sent)
(10765|10789) pub18  43% (7 samples sent)
(10765|10789) pub18  50% (8 samples sent)
(10765|10789) pub18  62% (10 samples sent)
(10765|10789) pub18  75% (12 samples sent)
(10765|10789) pub18  81% (13 samples sent)
(10765|10789) pub18  93% (15 samples sent)
(10765|10789) pub18  100% (16 samples sent)
(10765|10789) pub18  waiting for acks
(10765|10789) pub18  waiting for acks returned
(10765|10789) pub18<-delete_contained_entities
(10765|10771) pub0<-delete_participant
(10765|10789) pub18<-delete_participant
(10765|10800) pub29<-match found! before write for 0103000c.297a35f2.2a0d2313.00000002(27cca480)
(10765|10800) pub29  12% (2 samples sent)
(10765|10800) pub29  25% (4 samples sent)
(10765|10800) pub29  31% (5 samples sent)
(10765|10800) pub29  43% (7 samples sent)
(10765|10800) pub29  50% (8 samples sent)
(10765|10800) pub29  62% (10 samples sent)
(10765|10800) pub29  75% (12 samples sent)
(10765|10800) pub29  81% (13 samples sent)
(10765|10800) pub29  93% (15 samples sent)
(10765|10800) pub29  100% (16 samples sent)
(10765|10800) pub29  waiting for acks
(10765|10816) pub44  waiting for acks returned
(10765|10816) pub44<-delete_contained_entities
(10765|10822) pub51  waiting for acks returned
(10765|10822) pub51<-delete_contained_entities
(10765|10816) pub44<-delete_participant
(10765|10822) pub51<-delete_participant
(10765|10826) pub55<-match found! before write for 0103000c.297a35f2.2a0d232c.00000002(04bd8bd7)
(10765|10826) pub55  12% (2 samples sent)
(10765|10826) pub55  25% (4 samples sent)
(10765|10826) pub55  31% (5 samples sent)
(10765|10826) pub55  43% (7 samples sent)
(10765|10826) pub55  50% (8 samples sent)
(10765|10826) pub55  62% (10 samples sent)
(10765|10826) pub55  75% (12 samples sent)
(10765|10826) pub55  81% (13 samples sent)
(10765|10826) pub55  93% (15 samples sent)
(10765|10826) pub55  100% (16 samples sent)
(10765|10826) pub55  waiting for acks
(10765|10811) pub40<-match found! before write for 0103000c.297a35f2.2a0d231f.00000002(e23c4981)
(10765|10811) pub40  12% (2 samples sent)
(10765|10811) pub40  25% (4 samples sent)
(10765|10811) pub40  31% (5 samples sent)
(10765|10811) pub40  43% (7 samples sent)
(10765|10811) pub40  50% (8 samples sent)
(10765|10811) pub40  62% (10 samples sent)
(10765|10811) pub40  75% (12 samples sent)
(10765|10811) pub40  81% (13 samples sent)
(10765|10811) pub40  93% (15 samples sent)
(10765|10811) pub40  100% (16 samples sent)
(10765|10811) pub40  waiting for acks
(10765|10788) pub17<-match found! before write for 0103000c.297a35f2.2a0d2308.00000002(30fc0213)
(10765|10788) pub17  12% (2 samples sent)
(10765|10788) pub17  25% (4 samples sent)
(10765|10788) pub17  31% (5 samples sent)
(10765|10788) pub17  43% (7 samples sent)
(10765|10788) pub17  50% (8 samples sent)
(10765|10788) pub17  62% (10 samples sent)
(10765|10788) pub17  75% (12 samples sent)
(10765|10788) pub17  81% (13 samples sent)
(10765|10788) pub17  93% (15 samples sent)
(10765|10788) pub17  100% (16 samples sent)
(10765|10788) pub17  waiting for acks
(10765|10770)  sub 50% (512 samples received)
(10765|10788) pub17  waiting for acks returned
(10765|10788) pub17<-delete_contained_entities
(10765|10831) pub59<-match found! before write for 0103000c.297a35f2.2a0d232f.00000002(431df107)
(10765|10831) pub59  12% (2 samples sent)
(10765|10831) pub59  25% (4 samples sent)
(10765|10831) pub59  31% (5 samples sent)
(10765|10831) pub59  43% (7 samples sent)
(10765|10831) pub59  50% (8 samples sent)
(10765|10831) pub59  62% (10 samples sent)
(10765|10831) pub59  75% (12 samples sent)
(10765|10831) pub59  81% (13 samples sent)
(10765|10831) pub59  93% (15 samples sent)
(10765|10831) pub59  100% (16 samples sent)
(10765|10831) pub59  waiting for acks
(10765|10831) pub59  waiting for acks returned
(10765|10831) pub59<-delete_contained_entities
(10765|10820) pub49<-match found! before write for 0103000c.297a35f2.2a0d2328.00000002(f13d2d17)
(10765|10820) pub49  12% (2 samples sent)
(10765|10820) pub49  25% (4 samples sent)
(10765|10820) pub49  31% (5 samples sent)
(10765|10820) pub49  43% (7 samples sent)
(10765|10820) pub49  50% (8 samples sent)
(10765|10820) pub49  62% (10 samples sent)
(10765|10820) pub49  75% (12 samples sent)
(10765|10820) pub49  81% (13 samples sent)
(10765|10820) pub49  93% (15 samples sent)
(10765|10820) pub49  100% (16 samples sent)
(10765|10820) pub49  waiting for acks
(10765|10820) pub49  waiting for acks returned
(10765|10820) pub49<-delete_contained_entities
(10765|10817) pub46<-match found! before write for 0103000c.297a35f2.2a0d2325.00000002(09ade9a6)
(10765|10817) pub46  12% (2 samples sent)
(10765|10817) pub46  25% (4 samples sent)
(10765|10817) pub46  31% (5 samples sent)
(10765|10817) pub46  43% (7 samples sent)
(10765|10817) pub46  50% (8 samples sent)
(10765|10817) pub46  62% (10 samples sent)
(10765|10817) pub46  75% (12 samples sent)
(10765|10817) pub46  81% (13 samples sent)
(10765|10817) pub46  93% (15 samples sent)
(10765|10817) pub46  100% (16 samples sent)
(10765|10817) pub46  waiting for acks
(10765|10788) pub17<-delete_participant
(10765|10831) pub59<-delete_participant
(10765|10817) pub46  waiting for acks returned
(10765|10817) pub46<-delete_contained_entities
(10765|10830) pub58<-match found! before write for 0103000c.297a35f2.2a0d232e.00000002(7e7dd8b7)
(10765|10830) pub58  12% (2 samples sent)
(10765|10830) pub58  25% (4 samples sent)
(10765|10830) pub58  31% (5 samples sent)
(10765|10830) pub58  43% (7 samples sent)
(10765|10830) pub58  50% (8 samples sent)
(10765|10830) pub58  62% (10 samples sent)
(10765|10830) pub58  75% (12 samples sent)
(10765|10830) pub58  81% (13 samples sent)
(10765|10830) pub58  93% (15 samples sent)
(10765|10830) pub58  100% (16 samples sent)
(10765|10830) pub58  waiting for acks
(10765|10820) pub49<-delete_participant
(10765|10817) pub46<-delete_participant
(10765|10790) pub19<-match found! before write for 0103000c.297a35f2.2a0d230a.00000002(4a3c5173)
(10765|10790) pub19  12% (2 samples sent)
(10765|10790) pub19  25% (4 samples sent)
(10765|10790) pub19  31% (5 samples sent)
(10765|10790) pub19  43% (7 samples sent)
(10765|10790) pub19  50% (8 samples sent)
(10765|10790) pub19  62% (10 samples sent)
(10765|10790) pub19  75% (12 samples sent)
(10765|10790) pub19  81% (13 samples sent)
(10765|10790) pub19  93% (15 samples sent)
(10765|10790) pub19  100% (16 samples sent)
(10765|10790) pub19  waiting for acks
(10765|10795) pub24<-match found! before write for 0103000c.297a35f2.2a0d230f.00000002(82dcde03)
(10765|10795) pub24  12% (2 samples sent)
(10765|10795) pub24  25% (4 samples sent)
(10765|10795) pub24  31% (5 samples sent)
(10765|10795) pub24  43% (7 samples sent)
(10765|10795) pub24  50% (8 samples sent)
(10765|10795) pub24  62% (10 samples sent)
(10765|10795) pub24  75% (12 samples sent)
(10765|10795) pub24  81% (13 samples sent)
(10765|10795) pub24  93% (15 samples sent)
(10765|10795) pub24  100% (16 samples sent)
(10765|10795) pub24  waiting for acks
(10765|10798) pub27<-match found! before write for 0103000c.297a35f2.2a0d231b.00000002(17bcef41)
(10765|10798) pub27  12% (2 samples sent)
(10765|10798) pub27  25% (4 samples sent)
(10765|10798) pub27  31% (5 samples sent)
(10765|10798) pub27  43% (7 samples sent)
(10765|10798) pub27  50% (8 samples sent)
(10765|10798) pub27  62% (10 samples sent)
(10765|10798) pub27  75% (12 samples sent)
(10765|10798) pub27  81% (13 samples sent)
(10765|10798) pub27  93% (15 samples sent)
(10765|10798) pub27  100% (16 samples sent)
(10765|10798) pub27  waiting for acks
(10765|10798) pub27  waiting for acks returned
(10765|10798) pub27<-delete_contained_entities
(10765|10795) pub24  waiting for acks returned
(10765|10795) pub24<-delete_contained_entities
(10765|10825) pub54<-match found! before write for 0103000c.297a35f2.2a0d232b.00000002(b69d57c7)
(10765|10825) pub54  12% (2 samples sent)
(10765|10825) pub54  25% (4 samples sent)
(10765|10825) pub54  31% (5 samples sent)
(10765|10825) pub54  43% (7 samples sent)
(10765|10825) pub54  50% (8 samples sent)
(10765|10825) pub54  62% (10 samples sent)
(10765|10825) pub54  75% (12 samples sent)
(10765|10812) pub41  waiting for acks returned
(10765|10812) pub41<-delete_contained_entities
(10765|10825) pub54  81% (13 samples sent)
(10765|10825) pub54  93% (15 samples sent)
(10765|10825) pub54  100% (16 samples sent)
(10765|10825) pub54  waiting for acks
(10765|10770)  sub 60% (615 samples received)
(10765|10815) pub45  waiting for acks returned
(10765|10815) pub45<-delete_contained_entities
(10765|10785) pub14<-match found! before write for 0103000c.297a35f2.2a0d2305.00000002(c86cc6a2)
(10765|10785) pub14  12% (2 samples sent)
(10765|10785) pub14  25% (4 samples sent)
(10765|10785) pub14  31% (5 samples sent)
(10765|10785) pub14  43% (7 samples sent)
(10765|10785) pub14  50% (8 samples sent)
(10765|10785) pub14  62% (10 samples sent)
(10765|10785) pub14  75% (12 samples sent)
(10765|10785) pub14  81% (13 samples sent)
(10765|10785) pub14  93% (15 samples sent)
(10765|10785) pub14  100% (16 samples sent)
(10765|10785) pub14  waiting for acks
(10765|10792) pub21<-match found! before write for 0103000c.297a35f2.2a0d230c.00000002(c57ca4d3)
(10765|10818) pub47<-match found! before write for 0103000c.297a35f2.2a0d2326.00000002(4e0d9376)
(10765|10818) pub47  12% (2 samples sent)
(10765|10792) pub21  12% (2 samples sent)
(10765|10818) pub47  25% (4 samples sent)
(10765|10792) pub21  25% (4 samples sent)
(10765|10818) pub47  31% (5 samples sent)
(10765|10792) pub21  31% (5 samples sent)
(10765|10818) pub47  43% (7 samples sent)
(10765|10792) pub21  43% (7 samples sent)
(10765|10792) pub21  50% (8 samples sent)
(10765|10792) pub21  62% (10 samples sent)
(10765|10792) pub21  75% (12 samples sent)
(10765|10792) pub21  81% (13 samples sent)
(10765|10792) pub21  93% (15 samples sent)
(10765|10792) pub21  100% (16 samples sent)
(10765|10792) pub21  waiting for acks
(10765|10818) pub47  50% (8 samples sent)
(10765|10818) pub47  62% (10 samples sent)
(10765|10818) pub47  75% (12 samples sent)
(10765|10818) pub47  81% (13 samples sent)
(10765|10818) pub47  93% (15 samples sent)
(10765|10818) pub47  100% (16 samples sent)
(10765|10818) pub47  waiting for acks
(10765|10798) pub27<-delete_participant
(10765|10810) pub39<-match found! before write for 0103000c.297a35f2.2a0d231e.00000002(df5c6031)
(10765|10810) pub39  12% (2 samples sent)
(10765|10810) pub39  25% (4 samples sent)
(10765|10810) pub39  31% (5 samples sent)
(10765|10810) pub39  43% (7 samples sent)
(10765|10810) pub39  50% (8 samples sent)
(10765|10810) pub39  62% (10 samples sent)
(10765|10810) pub39  75% (12 samples sent)
(10765|10810) pub39  81% (13 samples sent)
(10765|10810) pub39  93% (15 samples sent)
(10765|10810) pub39  100% (16 samples sent)
(10765|10810) pub39  waiting for acks
(10765|10795) pub24<-delete_participant
(10765|10818) pub47  waiting for acks returned
(10765|10818) pub47<-delete_contained_entities
(10765|10812) pub41<-delete_participant
(10765|10805) pub33  waiting for acks returned
(10765|10805) pub33<-delete_contained_entities
(10765|10784) pub13<-match found! before write for 0103000c.297a35f2.2a0d2304.00000002(f50cef12)
(10765|10784) pub13  12% (2 samples sent)
(10765|10784) pub13  25% (4 samples sent)
(10765|10784) pub13  31% (5 samples sent)
(10765|10784) pub13  43% (7 samples sent)
(10765|10784) pub13  50% (8 samples sent)
(10765|10784) pub13  62% (10 samples sent)
(10765|10784) pub13  75% (12 samples sent)
(10765|10784) pub13  81% (13 samples sent)
(10765|10784) pub13  93% (15 samples sent)
(10765|10784) pub13  100% (16 samples sent)
(10765|10784) pub13  waiting for acks
(10765|10815) pub45<-delete_participant
(10765|10813) pub42<-match found! before write for 0103000c.297a35f2.2a0d2321.00000002(fc2d4f66)
(10765|10813) pub42  12% (2 samples sent)
(10765|10813) pub42  25% (4 samples sent)
(10765|10813) pub42  31% (5 samples sent)
(10765|10813) pub42  43% (7 samples sent)
(10765|10813) pub42  50% (8 samples sent)
(10765|10813) pub42  62% (10 samples sent)
(10765|10813) pub42  75% (12 samples sent)
(10765|10813) pub42  81% (13 samples sent)
(10765|10813) pub42  93% (15 samples sent)
(10765|10813) pub42  100% (16 samples sent)
(10765|10813) pub42  waiting for acks
(10765|10833) pub61<-match found! before write for 0103000c.297a35f2.2a0d2335.00000002(694d7e24)
(10765|10833) pub61  12% (2 samples sent)
(10765|10833) pub61  25% (4 samples sent)
(10765|10833) pub61  31% (5 samples sent)
(10765|10833) pub61  43% (7 samples sent)
(10765|10833) pub61  50% (8 samples sent)
(10765|10833) pub61  62% (10 samples sent)
(10765|10833) pub61  75% (12 samples sent)
(10765|10833) pub61  81% (13 samples sent)
(10765|10833) pub61  93% (15 samples sent)
(10765|10833) pub61  100% (16 samples sent)
(10765|10833) pub61  waiting for acks
(10765|10818) pub47<-delete_participant
(10765|10807) pub36  waiting for acks returned
(10765|10807) pub36<-delete_contained_entities
(10765|10829) pub57<-match found! before write for 0103000c.297a35f2.2a0d232d.00000002(39dda267)
(10765|10829) pub57  12% (2 samples sent)
(10765|10829) pub57  25% (4 samples sent)
(10765|10829) pub57  31% (5 samples sent)
(10765|10829) pub57  43% (7 samples sent)
(10765|10829) pub57  50% (8 samples sent)
(10765|10829) pub57  62% (10 samples sent)
(10765|10829) pub57  75% (12 samples sent)
(10765|10829) pub57  81% (13 samples sent)
(10765|10829) pub57  93% (15 samples sent)
(10765|10829) pub57  100% (16 samples sent)
(10765|10829) pub57  waiting for acks
(10765|10832) pub60<-match found! before write for 0103000c.297a35f2.2a0d2334.00000002(542d5794)
(10765|10832) pub60  12% (2 samples sent)
(10765|10832) pub60  25% (4 samples sent)
(10765|10832) pub60  31% (5 samples sent)
(10765|10832) pub60  43% (7 samples sent)
(10765|10832) pub60  50% (8 samples sent)
(10765|10832) pub60  62% (10 samples sent)
(10765|10832) pub60  75% (12 samples sent)
(10765|10832) pub60  81% (13 samples sent)
(10765|10832) pub60  93% (15 samples sent)
(10765|10832) pub60  100% (16 samples sent)
(10765|10832) pub60  waiting for acks
(10765|10829) pub57  waiting for acks returned
(10765|10829) pub57<-delete_contained_entities
(10765|10805) pub33<-delete_participant
(10765|10807) pub36<-delete_participant
(10765|10802) pub31<-match found! before write for 0103000c.297a35f2.2a0d2316.00000002(ef2c2bf0)
(10765|10802) pub31  12% (2 samples sent)
(10765|10802) pub31  25% (4 samples sent)
(10765|10802) pub31  31% (5 samples sent)
(10765|10802) pub31  43% (7 samples sent)
(10765|10802) pub31  50% (8 samples sent)
(10765|10802) pub31  62% (10 samples sent)
(10765|10802) pub31  75% (12 samples sent)
(10765|10802) pub31  81% (13 samples sent)
(10765|10802) pub31  93% (15 samples sent)
(10765|10802) pub31  100% (16 samples sent)
(10765|10802) pub31  waiting for acks
(10765|10803) pub32<-match found! before write for 0103000c.297a35f2.2a0d2317.00000002(d24c0240)
(10765|10803) pub32  12% (2 samples sent)
(10765|10803) pub32  25% (4 samples sent)
(10765|10803) pub32  31% (5 samples sent)
(10765|10803) pub32  43% (7 samples sent)
(10765|10803) pub32  50% (8 samples sent)
(10765|10803) pub32  62% (10 samples sent)
(10765|10803) pub32  75% (12 samples sent)
(10765|10803) pub32  81% (13 samples sent)
(10765|10803) pub32  93% (15 samples sent)
(10765|10803) pub32  100% (16 samples sent)
(10765|10803) pub32  waiting for acks
(10765|10835) pub63<-match found! before write for 0103000c.297a35f2.2a0d2330.00000002(a1adf154)
(10765|10835) pub63  12% (2 samples sent)
(10765|10835) pub63  25% (4 samples sent)
(10765|10835) pub63  31% (5 samples sent)
(10765|10835) pub63  43% (7 samples sent)
(10765|10835) pub63  50% (8 samples sent)
(10765|10835) pub63  62% (10 samples sent)
(10765|10835) pub63  75% (12 samples sent)
(10765|10835) pub63  81% (13 samples sent)
(10765|10835) pub63  93% (15 samples sent)
(10765|10835) pub63  100% (16 samples sent)
(10765|10835) pub63  waiting for acks
(10765|10770)  sub 70% (717 samples received)
(10765|10803) pub32  waiting for acks returned
(10765|10803) pub32<-delete_contained_entities
(10765|10781) pub9<-match found! before write for 0103000c.297a35f2.2a0d2300.00000002(008c49d2)
(10765|10786) pub15<-match found! before write for 0103000c.297a35f2.2a0d2306.00000002(8fccbc72)
(10765|10781) pub9  12% (2 samples sent)
(10765|10781) pub9  25% (4 samples sent)
(10765|10786) pub15  12% (2 samples sent)
(10765|10781) pub9  31% (5 samples sent)
(10765|10786) pub15  25% (4 samples sent)
(10765|10781) pub9  43% (7 samples sent)
(10765|10786) pub15  31% (5 samples sent)
(10765|10781) pub9  50% (8 samples sent)
(10765|10786) pub15  43% (7 samples sent)
(10765|10786) pub15  50% (8 samples sent)
(10765|10781) pub9  62% (10 samples sent)
(10765|10786) pub15  62% (10 samples sent)
(10765|10781) pub9  75% (12 samples sent)
(10765|10786) pub15  75% (12 samples sent)
(10765|10786) pub15  81% (13 samples sent)
(10765|10786) pub15  93% (15 samples sent)
(10765|10786) pub15  100% (16 samples sent)
(10765|10786) pub15  waiting for acks
(10765|10781) pub9  81% (13 samples sent)
(10765|10781) pub9  93% (15 samples sent)
(10765|10781) pub9  100% (16 samples sent)
(10765|10781) pub9  waiting for acks
(10765|10781) pub9  waiting for acks returned
(10765|10781) pub9<-delete_contained_entities
(10765|10786) pub15  waiting for acks returned
(10765|10786) pub15<-delete_contained_entities
(10765|10775) pub5<-match found! before write for 0103000c.297a35f2.2a0d22fc.00000002(4614272b)
(10765|10775) pub5  12% (2 samples sent)
(10765|10775) pub5  25% (4 samples sent)
(10765|10775) pub5  31% (5 samples sent)
(10765|10775) pub5  43% (7 samples sent)
(10765|10775) pub5  50% (8 samples sent)
(10765|10775) pub5  62% (10 samples sent)
(10765|10775) pub5  75% (12 samples sent)
(10765|10775) pub5  81% (13 samples sent)
(10765|10799) pub28<-match found! before write for 0103000c.297a35f2.2a0d2312.00000002(1aac8d30)
(10765|10775) pub5  93% (15 samples sent)
(10765|10775) pub5  100% (16 samples sent)
(10765|10775) pub5  waiting for acks
(10765|10799) pub28  12% (2 samples sent)
(10765|10799) pub28  25% (4 samples sent)
(10765|10799) pub28  31% (5 samples sent)
(10765|10799) pub28  43% (7 samples sent)
(10765|10799) pub28  50% (8 samples sent)
(10765|10799) pub28  62% (10 samples sent)
(10765|10799) pub28  75% (12 samples sent)
(10765|10799) pub28  81% (13 samples sent)
(10765|10799) pub28  93% (15 samples sent)
(10765|10799) pub28  100% (16 samples sent)
(10765|10799) pub28  waiting for acks
(10765|10775) pub5  waiting for acks returned
(10765|10775) pub5<-delete_contained_entities
(10765|10829) pub57<-delete_participant
(10765|10790) pub19  waiting for acks returned
(10765|10790) pub19<-delete_contained_entities
(10765|10802) pub31  waiting for acks returned
(10765|10802) pub31<-delete_contained_entities
(10765|10796) pub25  waiting for acks returned
(10765|10796) pub25<-delete_contained_entities
(10765|10770)  sub 80% (820 samples received)
(10765|10785) pub14  waiting for acks returned
(10765|10785) pub14<-delete_contained_entities
(10765|10784) pub13  waiting for acks returned
(10765|10784) pub13<-delete_contained_entities
(10765|10803) pub32<-delete_participant
(10765|10832) pub60  waiting for acks returned
(10765|10832) pub60<-delete_contained_entities
(10765|10792) pub21  waiting for acks returned
(10765|10792) pub21<-delete_contained_entities
(10765|10835) pub63  waiting for acks returned
(10765|10835) pub63<-delete_contained_entities
(10765|10813) pub42  waiting for acks returned
(10765|10813) pub42<-delete_contained_entities
(10765|10833) pub61  waiting for acks returned
(10765|10833) pub61<-delete_contained_entities
(10765|10770)  sub 90% (922 samples received)
(10765|10800) pub29  waiting for acks returned
(10765|10800) pub29<-delete_contained_entities
(10765|10826) pub55  waiting for acks returned
(10765|10826) pub55<-delete_contained_entities
(10765|10811) pub40  waiting for acks returned
(10765|10811) pub40<-delete_contained_entities
(10765|10781) pub9<-delete_participant
(10765|10786) pub15<-delete_participant
(10765|10810) pub39  waiting for acks returned
(10765|10810) pub39<-delete_contained_entities
(10765|10825) pub54  waiting for acks returned
(10765|10825) pub54<-delete_contained_entities
(10765|10830) pub58  waiting for acks returned
(10765|10830) pub58<-delete_contained_entities
(10765|10770) sub condition_.notify_all
(10765|10765) sub condition_.wait returned
(10765|10765) sub check_received
(10765|10765) sub check_received returns 0
(10765|10765) <- PublisherService::end
(10765|10770) sub condition_.notify_all
(10765|10770) sub condition_.notify_all
(10765|10770) sub condition_.notify_all
(10765|10770) sub condition_.notify_all
(10765|10770) sub condition_.notify_all
(10765|10770) sub condition_.notify_all
(10765|10770) sub condition_.notify_all
(10765|10770) sub condition_.notify_all
(10765|10770) sub condition_.notify_all
(10765|10770) sub condition_.notify_all
(10765|10770) sub condition_.notify_all
(10765|10770) sub condition_.notify_all
(10765|10770) sub condition_.notify_all
(10765|10770) sub condition_.notify_all
(10765|10770)  sub 100% (1024 samples received)
(10765|10770) sub condition_.notify_all
(10765|10775) pub5<-delete_participant
(10765|10799) pub28  waiting for acks returned
(10765|10799) pub28<-delete_contained_entities
(10765|10790) pub19<-delete_participant
(10765|10802) pub31<-delete_participant
(10765|10796) pub25<-delete_participant
(10765|10785) pub14<-delete_participant
(10765|10784) pub13<-delete_participant
(10765|10832) pub60<-delete_participant
(10765|10792) pub21<-delete_participant
(10765|10835) pub63<-delete_participant
(10765|10813) pub42<-delete_participant
(10765|10833) pub61<-delete_participant
(10765|10800) pub29<-delete_participant
(10765|10826) pub55<-delete_participant
(10765|10811) pub40<-delete_participant
(10765|10810) pub39<-delete_participant
(10765|10825) pub54<-delete_participant
(10765|10830) pub58<-delete_participant
(10765|10799) pub28<-delete_participant
(10765|10765) <- PublisherService::~PublisherService
(10765|10765) <- Subscriber delete_contained_entities
(10765|10765) <- Subscriber delete_participant
(10765|10765) <- Subscriber::~Subscriber
(10765|10765) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl aggressive rtps Time:95s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl single durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 11121
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1 -n 1 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 11128 started at 2023-04-19 14:18:57
(11128|11128) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(11128|11128) -> Thrasher started
(11128|11128) -> Subscriber::Subscriber
(11121|11121) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(11128|11128) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(11128|11128)    Subscriber reader id: 01030000.0ffc19fb.00000002.00000507(f4281f84)
(11128|11128) -> PublisherService::PublisherService
(11128|11128) -> PublisherService::start (1 threads)
(11128|11128) sub wait_received 0:1
(11128|11137) pub0  writer id: 01030000.0ffc19fb.00000003.00000102(dd4e07bf)
(11128|11137) pub0->started
(11128|11136)  sub 100% (1 samples received)
(11128|11136) sub condition_.notify_all
(11128|11128) sub condition_.wait returned
(11128|11128) sub check_received
(11128|11128) sub check_received returns 0
(11128|11128) <- PublisherService::end
(11128|11137) pub0  100% (1 samples sent)
(11128|11137) pub0->wait_match() before write for 01030000.0ffc19fb.00000003.00000102(dd4e07bf)
(11128|11137) pub0<-match found! before write for 01030000.0ffc19fb.00000003.00000102(dd4e07bf)
(11128|11137) pub0  waiting for acks
(11128|11137) pub0  waiting for acks returned
(11128|11137) pub0<-delete_contained_entities
(11128|11137) pub0<-delete_participant
(11128|11128) <- PublisherService::~PublisherService
(11128|11128) <- Subscriber delete_contained_entities
(11128|11128) <- Subscriber delete_participant
(11128|11128) <- Subscriber::~Subscriber
(11128|11128) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl single durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl double durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 11139
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 2 -s 1 -n 2 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 11146 started at 2023-04-19 14:18:58
(11146|11146) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(11146|11146) -> Thrasher started
(11146|11146) -> Subscriber::Subscriber
(11139|11139) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(11146|11146) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(11146|11146)    Subscriber reader id: 01030000.5ddb8fb1.00000002.00000507(0cc63074)
(11146|11146) -> PublisherService::PublisherService
(11146|11146) -> PublisherService::start (2 threads)
(11146|11146) sub wait_received 0:2
(11146|11156) pub1  writer id: 01030000.5ddb8fb1.00000004.00000102(9780f45f)
(11146|11156) pub1->started
(11146|11154)  sub 50% (1 samples received)
(11146|11156) pub1  100% (1 samples sent)
(11146|11156) pub1->wait_match() before write for 01030000.5ddb8fb1.00000004.00000102(9780f45f)
(11146|11156) pub1<-match found! before write for 01030000.5ddb8fb1.00000004.00000102(9780f45f)
(11146|11156) pub1  waiting for acks
(11146|11156) pub1  waiting for acks returned
(11146|11156) pub1<-delete_contained_entities
(11146|11155) pub0  writer id: 01030000.5ddb8fb1.00000003.00000102(25a0284f)
(11146|11155) pub0->started
(11146|11155) pub0  100% (1 samples sent)
(11146|11155) pub0->wait_match() before write for 01030000.5ddb8fb1.00000003.00000102(25a0284f)
(11146|11155) pub0<-match found! before write for 01030000.5ddb8fb1.00000003.00000102(25a0284f)
(11146|11155) pub0  waiting for acks
(11146|11154)  sub 100% (2 samples received)
(11146|11154) sub condition_.notify_all
(11146|11146) sub condition_.wait returned
(11146|11146) sub check_received
(11146|11146) sub check_received returns 0
(11146|11146) <- PublisherService::end
(11146|11155) pub0  waiting for acks returned
(11146|11155) pub0<-delete_contained_entities
(11146|11156) pub1<-delete_participant
(11146|11155) pub0<-delete_participant
(11146|11146) <- PublisherService::~PublisherService
(11146|11146) <- Subscriber delete_contained_entities
(11146|11146) <- Subscriber delete_participant
(11146|11146) <- Subscriber::~Subscriber
(11146|11146) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl double durable Time:0s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl triangle durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 11158
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 3 -s 3 -n 9 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 11165 started at 2023-04-19 14:18:59
(11165|11165) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(11165|11165) -> Thrasher started
(11165|11165) -> Subscriber::Subscriber
(11158|11158) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(11165|11165) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(11165|11165)    Subscriber reader id: 01030000.42f38c11.00000002.00000507(41861584)
(11165|11165) -> PublisherService::PublisherService
(11165|11165) -> PublisherService::start (3 threads)
(11165|11165) sub wait_received 0:3
(11165|11174) pub0  writer id: 01030000.42f38c11.00000004.00000102(dac0d1af)
(11165|11174) pub0->started
(11165|11174) pub0  33% (1 samples sent)
(11165|11174) pub0  66% (2 samples sent)
(11165|11174) pub0  100% (3 samples sent)
(11165|11174) pub0->wait_match() before write for 01030000.42f38c11.00000004.00000102(dac0d1af)
(11165|11173)  sub 11% (1 samples received)
(11165|11174) pub0<-match found! before write for 01030000.42f38c11.00000004.00000102(dac0d1af)
(11165|11174) pub0  waiting for acks
(11165|11174) pub0  waiting for acks returned
(11165|11174) pub0<-delete_contained_entities
(11165|11175) pub1  writer id: 01030000.42f38c11.00000003.00000102(68e00dbf)
(11165|11175) pub1->started
(11165|11175) pub1  33% (1 samples sent)
(11165|11175) pub1  66% (2 samples sent)
(11165|11175) pub1  100% (3 samples sent)
(11165|11175) pub1->wait_match() before write for 01030000.42f38c11.00000003.00000102(68e00dbf)
(11165|11175) pub1<-match found! before write for 01030000.42f38c11.00000003.00000102(68e00dbf)
(11165|11175) pub1  waiting for acks
(11165|11173)  sub 22% (2 samples received)
(11165|11175) pub1  waiting for acks returned
(11165|11175) pub1<-delete_contained_entities
(11165|11176) pub2  writer id: 01030000.42f38c11.00000005.00000102(e7a0f81f)
(11165|11176) pub2->started
(11165|11176) pub2  33% (1 samples sent)
(11165|11176) pub2  66% (2 samples sent)
(11165|11176) pub2  100% (3 samples sent)
(11165|11176) pub2->wait_match() before write for 01030000.42f38c11.00000005.00000102(e7a0f81f)
(11165|11173)  sub 33% (3 samples received)
(11165|11173) sub condition_.notify_all
(11165|11165) sub condition_.wait returned
(11165|11165) sub check_received
(11165|11165) sub check_received returns 0
(11165|11165) <- PublisherService::end
(11165|11173)  sub 44% (4 samples received)
(11165|11173) sub condition_.notify_all
(11165|11176) pub2<-match found! before write for 01030000.42f38c11.00000005.00000102(e7a0f81f)
(11165|11176) pub2  waiting for acks
(11165|11173)  sub 55% (5 samples received)
(11165|11173) sub condition_.notify_all
(11165|11176) pub2  waiting for acks returned
(11165|11176) pub2<-delete_contained_entities
(11165|11174) pub0<-delete_participant
(11165|11175) pub1<-delete_participant
(11165|11176) pub2<-delete_participant
(11165|11165) <- PublisherService::~PublisherService
(11165|11165) <- Subscriber delete_contained_entities
(11165|11165) <- Subscriber delete_participant
(11165|11165) <- Subscriber::~Subscriber
(11165|11165) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl triangle durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl default durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 11178
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1024 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 11185 started at 2023-04-19 14:18:59
(11185|11185) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(11185|11185) -> Thrasher started
(11185|11185) -> Subscriber::Subscriber
(11178|11178) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(11185|11185) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(11185|11185)    Subscriber reader id: 01030000.54ecd3c4.00000002.00000507(8922b426)
(11185|11185) -> PublisherService::PublisherService
(11185|11185) -> PublisherService::start (1 threads)
(11185|11185) sub wait_received 0:1
(11185|11194) pub0  writer id: 01030000.54ecd3c4.00000003.00000102(a044ac1d)
(11185|11194) pub0->started
(11185|11193) sub condition_.notify_all
(11185|11185) sub condition_.wait returned
(11185|11185) sub check_received
(11185|11185) sub check_received returns 0
(11185|11185) <- PublisherService::end
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11194) pub0  10% (103 samples sent)
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11194) pub0  20% (205 samples sent)
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193)  sub 10% (103 samples received)
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11194) pub0  30% (308 samples sent)
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11194) pub0  40% (410 samples sent)
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193)  sub 20% (205 samples received)
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11194) pub0  50% (512 samples sent)
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11194) pub0  60% (615 samples sent)
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11194) pub0  70% (717 samples sent)
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193)  sub 30% (308 samples received)
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11194) pub0  80% (820 samples sent)
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11194) pub0  90% (922 samples sent)
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11194) pub0  100% (1024 samples sent)
(11185|11194) pub0->wait_match() before write for 01030000.54ecd3c4.00000003.00000102(a044ac1d)
(11185|11193) sub condition_.notify_all
(11185|11194) pub0<-match found! before write for 01030000.54ecd3c4.00000003.00000102(a044ac1d)
(11185|11194) pub0  waiting for acks
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193)  sub 40% (410 samples received)
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193)  sub 50% (512 samples received)
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193)  sub 60% (615 samples received)
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193)  sub 70% (717 samples received)
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193)  sub 80% (820 samples received)
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193)  sub 90% (922 samples received)
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193) sub condition_.notify_all
(11185|11193)  sub 100% (1024 samples received)
(11185|11193) sub condition_.notify_all
(11185|11194) pub0  waiting for acks returned
(11185|11194) pub0<-delete_contained_entities
(11185|11194) pub0<-delete_participant
(11185|11185) <- PublisherService::~PublisherService
(11185|11185) <- Subscriber delete_contained_entities
(11185|11185) <- Subscriber delete_participant
(11185|11185) <- Subscriber::~Subscriber
(11185|11185) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl default durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl low durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 11196
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 8 -s 128 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 11203 started at 2023-04-19 14:19:00
(11203|11203) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(11203|11203) -> Thrasher started
(11203|11203) -> Subscriber::Subscriber
(11196|11196) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(11203|11203) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(11203|11203)    Subscriber reader id: 01030000.044475f5.00000002.00000507(63eb1b20)
(11203|11203) -> PublisherService::PublisherService
(11203|11203) -> PublisherService::start (8 threads)
(11203|11203) sub wait_received 0:8
(11203|11214) pub4  writer id: 01030000.044475f5.00000005.00000102(c5cdf6bb)
(11203|11214) pub4->started
(11203|11214) pub4  10% (13 samples sent)
(11203|11214) pub4  20% (26 samples sent)
(11203|11214) pub4  30% (39 samples sent)
(11203|11214) pub4  40% (52 samples sent)
(11203|11214) pub4  50% (64 samples sent)
(11203|11217) pub2  writer id: 01030000.044475f5.00000004.00000102(f8addf0b)
(11203|11217) pub2->started
(11203|11217) pub2  10% (13 samples sent)
(11203|11217) pub2  20% (26 samples sent)
(11203|11217) pub2  30% (39 samples sent)
(11203|11217) pub2  40% (52 samples sent)
(11203|11217) pub2  50% (64 samples sent)
(11203|11217) pub2  60% (77 samples sent)
(11203|11217) pub2  70% (90 samples sent)
(11203|11216) pub3  writer id: 01030000.044475f5.00000006.00000102(826d8c6b)
(11203|11216) pub3->started
(11203|11217) pub2  80% (103 samples sent)
(11203|11216) pub3  10% (13 samples sent)
(11203|11217) pub2  90% (116 samples sent)
(11203|11217) pub2  100% (128 samples sent)
(11203|11217) pub2->wait_match() before write for 01030000.044475f5.00000004.00000102(f8addf0b)
(11203|11216) pub3  20% (26 samples sent)
(11203|11216) pub3  30% (39 samples sent)
(11203|11216) pub3  40% (52 samples sent)
(11203|11216) pub3  50% (64 samples sent)
(11203|11216) pub3  60% (77 samples sent)
(11203|11216) pub3  70% (90 samples sent)
(11203|11216) pub3  80% (103 samples sent)
(11203|11214) pub4  60% (77 samples sent)
(11203|11217) pub2<-match found! before write for 01030000.044475f5.00000004.00000102(f8addf0b)
(11203|11217) pub2  waiting for acks
(11203|11213) pub6  writer id: 01030000.044475f5.0000000a.00000102(479d616a)
(11203|11213) pub6->started
(11203|11213) pub6  10% (13 samples sent)
(11203|11214) pub4  70% (90 samples sent)
(11203|11213) pub6  20% (26 samples sent)
(11203|11213) pub6  30% (39 samples sent)
(11203|11214) pub4  80% (103 samples sent)
(11203|11213) pub6  40% (52 samples sent)
(11203|11213) pub6  50% (64 samples sent)
(11203|11218) pub1  writer id: 01030000.044475f5.00000008.00000102(3d5d320a)
(11203|11218) pub1->started
(11203|11218) pub1  10% (13 samples sent)
(11203|11218) pub1  20% (26 samples sent)
(11203|11213) pub6  60% (77 samples sent)
(11203|11218) pub1  30% (39 samples sent)
(11203|11213) pub6  70% (90 samples sent)
(11203|11218) pub1  40% (52 samples sent)
(11203|11213) pub6  80% (103 samples sent)
(11203|11218) pub1  50% (64 samples sent)
(11203|11216) pub3  90% (116 samples sent)
(11203|11213) pub6  90% (116 samples sent)
(11203|11216) pub3  100% (128 samples sent)
(11203|11216) pub3->wait_match() before write for 01030000.044475f5.00000006.00000102(826d8c6b)
(11203|11219) pub0  writer id: 01030000.044475f5.00000003.00000102(4a8d031b)
(11203|11219) pub0->started
(11203|11219) pub0  10% (13 samples sent)
(11203|11218) pub1  60% (77 samples sent)
(11203|11219) pub0  20% (26 samples sent)
(11203|11218) pub1  70% (90 samples sent)
(11203|11219) pub0  30% (39 samples sent)
(11203|11218) pub1  80% (103 samples sent)
(11203|11219) pub0  40% (52 samples sent)
(11203|11218) pub1  90% (116 samples sent)
(11203|11219) pub0  50% (64 samples sent)
(11203|11219) pub0  60% (77 samples sent)
(11203|11219) pub0  70% (90 samples sent)
(11203|11214) pub4  90% (116 samples sent)
(11203|11215) pub7  writer id: 01030000.044475f5.00000009.00000102(003d1bba)
(11203|11215) pub7->started
(11203|11213) pub6  100% (128 samples sent)
(11203|11213) pub6->wait_match() before write for 01030000.044475f5.0000000a.00000102(479d616a)
(11203|11219) pub0  80% (103 samples sent)
(11203|11215) pub7  10% (13 samples sent)
(11203|11215) pub7  20% (26 samples sent)
(11203|11219) pub0  90% (116 samples sent)
(11203|11213) pub6<-match found! before write for 01030000.044475f5.0000000a.00000102(479d616a)
(11203|11213) pub6  waiting for acks
(11203|11216) pub3<-match found! before write for 01030000.044475f5.00000006.00000102(826d8c6b)
(11203|11216) pub3  waiting for acks
(11203|11215) pub7  30% (39 samples sent)
(11203|11215) pub7  40% (52 samples sent)
(11203|11215) pub7  50% (64 samples sent)
(11203|11219) pub0  100% (128 samples sent)
(11203|11219) pub0->wait_match() before write for 01030000.044475f5.00000003.00000102(4a8d031b)
(11203|11214) pub4  100% (128 samples sent)
(11203|11214) pub4->wait_match() before write for 01030000.044475f5.00000005.00000102(c5cdf6bb)
(11203|11214) pub4<-match found! before write for 01030000.044475f5.00000005.00000102(c5cdf6bb)
(11203|11214) pub4  waiting for acks
(11203|11212) pub5  writer id: 01030000.044475f5.00000007.00000102(bf0da5db)
(11203|11212) pub5->started
(11203|11212) pub5  10% (13 samples sent)
(11203|11212) pub5  20% (26 samples sent)
(11203|11212) pub5  30% (39 samples sent)
(11203|11212) pub5  40% (52 samples sent)
(11203|11212) pub5  50% (64 samples sent)
(11203|11218) pub1  100% (128 samples sent)
(11203|11218) pub1->wait_match() before write for 01030000.044475f5.00000008.00000102(3d5d320a)
(11203|11218) pub1<-match found! before write for 01030000.044475f5.00000008.00000102(3d5d320a)
(11203|11218) pub1  waiting for acks
(11203|11215) pub7  60% (77 samples sent)
(11203|11215) pub7  70% (90 samples sent)
(11203|11215) pub7  80% (103 samples sent)
(11203|11215) pub7  90% (116 samples sent)
(11203|11215) pub7  100% (128 samples sent)
(11203|11215) pub7->wait_match() before write for 01030000.044475f5.00000009.00000102(003d1bba)
(11203|11212) pub5  60% (77 samples sent)
(11203|11212) pub5  70% (90 samples sent)
(11203|11212) pub5  80% (103 samples sent)
(11203|11212) pub5  90% (116 samples sent)
(11203|11212) pub5  100% (128 samples sent)
(11203|11212) pub5->wait_match() before write for 01030000.044475f5.00000007.00000102(bf0da5db)
(11203|11211)  sub 10% (103 samples received)
(11203|11219) pub0<-match found! before write for 01030000.044475f5.00000003.00000102(4a8d031b)
(11203|11219) pub0  waiting for acks
(11203|11215) pub7<-match found! before write for 01030000.044475f5.00000009.00000102(003d1bba)
(11203|11215) pub7  waiting for acks
(11203|11212) pub5<-match found! before write for 01030000.044475f5.00000007.00000102(bf0da5db)
(11203|11212) pub5  waiting for acks
(11203|11217) pub2  waiting for acks returned
(11203|11217) pub2<-delete_contained_entities
(11203|11213) pub6  waiting for acks returned
(11203|11213) pub6<-delete_contained_entities
(11203|11216) pub3  waiting for acks returned
(11203|11216) pub3<-delete_contained_entities
(11203|11211) sub condition_.notify_all
(11203|11203) sub condition_.wait returned
(11203|11203) sub check_received
(11203|11203) sub check_received returns 0
(11203|11203) <- PublisherService::end
(11203|11214) pub4  waiting for acks returned
(11203|11214) pub4<-delete_contained_entities
(11203|11218) pub1  waiting for acks returned
(11203|11218) pub1<-delete_contained_entities
(11203|11219) pub0  waiting for acks returned
(11203|11219) pub0<-delete_contained_entities
(11203|11217) pub2<-delete_participant
(11203|11215) pub7  waiting for acks returned
(11203|11215) pub7<-delete_contained_entities
(11203|11212) pub5  waiting for acks returned
(11203|11212) pub5<-delete_contained_entities
(11203|11213) pub6<-delete_participant
(11203|11216) pub3<-delete_participant
(11203|11214) pub4<-delete_participant
(11203|11218) pub1<-delete_participant
(11203|11219) pub0<-delete_participant
(11203|11215) pub7<-delete_participant
(11203|11212) pub5<-delete_participant
(11203|11203) <- PublisherService::~PublisherService
(11203|11203) <- Subscriber delete_contained_entities
(11203|11203) <- Subscriber delete_participant
(11203|11203) <- Subscriber::~Subscriber
(11203|11203) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl low durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl medium durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 11221
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 16 -s 64 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 11228 started at 2023-04-19 14:19:01
(11228|11228) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(11228|11228) -> Thrasher started
(11228|11228) -> Subscriber::Subscriber
(11221|11221) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(11228|11228) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(11228|11228)    Subscriber reader id: 01030000.619ebfc7.00000002.00000507(51b0c63b)
(11228|11228) -> PublisherService::PublisherService
(11228|11228) -> PublisherService::start (16 threads)
(11228|11228) sub wait_received 0:16
(11228|11237) pub7  writer id: 01030000.619ebfc7.00000004.00000102(caf60210)
(11228|11237) pub7->started
(11228|11237) pub7  10% (7 samples sent)
(11228|11237) pub7  20% (13 samples sent)
(11228|11237) pub7  31% (20 samples sent)
(11228|11237) pub7  40% (26 samples sent)
(11228|11237) pub7  50% (32 samples sent)
(11228|11237) pub7  60% (39 samples sent)
(11228|11237) pub7  70% (45 samples sent)
(11228|11237) pub7  81% (52 samples sent)
(11228|11237) pub7  90% (58 samples sent)
(11228|11237) pub7  100% (64 samples sent)
(11228|11237) pub7->wait_match() before write for 01030000.619ebfc7.00000004.00000102(caf60210)
(11228|11237) pub7<-match found! before write for 01030000.619ebfc7.00000004.00000102(caf60210)
(11228|11237) pub7  waiting for acks
(11228|11237) pub7  waiting for acks returned
(11228|11237) pub7<-delete_contained_entities
(11228|11243) pub4  writer id: 01030000.619ebfc7.0000000a.00000102(75c6bc71)
(11228|11243) pub4->started
(11228|11243) pub4  10% (7 samples sent)
(11228|11243) pub4  20% (13 samples sent)
(11228|11243) pub4  31% (20 samples sent)
(11228|11243) pub4  40% (26 samples sent)
(11228|11243) pub4  50% (32 samples sent)
(11228|11243) pub4  60% (39 samples sent)
(11228|11243) pub4  70% (45 samples sent)
(11228|11243) pub4  81% (52 samples sent)
(11228|11243) pub4  90% (58 samples sent)
(11228|11243) pub4  100% (64 samples sent)
(11228|11243) pub4->wait_match() before write for 01030000.619ebfc7.0000000a.00000102(75c6bc71)
(11228|11243) pub4<-match found! before write for 01030000.619ebfc7.0000000a.00000102(75c6bc71)
(11228|11243) pub4  waiting for acks
(11228|11243) pub4  waiting for acks returned
(11228|11243) pub4<-delete_contained_entities
(11228|11247) pub9  writer id: 01030000.619ebfc7.00000006.00000102(b0365170)
(11228|11247) pub9->started
(11228|11247) pub9  10% (7 samples sent)
(11228|11247) pub9  20% (13 samples sent)
(11228|11247) pub9  31% (20 samples sent)
(11228|11247) pub9  40% (26 samples sent)
(11228|11247) pub9  50% (32 samples sent)
(11228|11247) pub9  60% (39 samples sent)
(11228|11247) pub9  70% (45 samples sent)
(11228|11247) pub9  81% (52 samples sent)
(11228|11247) pub9  90% (58 samples sent)
(11228|11247) pub9  100% (64 samples sent)
(11228|11247) pub9->wait_match() before write for 01030000.619ebfc7.00000006.00000102(b0365170)
(11228|11247) pub9<-match found! before write for 01030000.619ebfc7.00000006.00000102(b0365170)
(11228|11247) pub9  waiting for acks
(11228|11246) pub10  writer id: 01030000.619ebfc7.0000000c.00000102(fa8649d1)
(11228|11246) pub10->started
(11228|11246) pub10  10% (7 samples sent)
(11228|11246) pub10  20% (13 samples sent)
(11228|11246) pub10  31% (20 samples sent)
(11228|11246) pub10  40% (26 samples sent)
(11228|11246) pub10  50% (32 samples sent)
(11228|11246) pub10  60% (39 samples sent)
(11228|11246) pub10  70% (45 samples sent)
(11228|11236)  sub 10% (103 samples received)
(11228|11246) pub10  81% (52 samples sent)
(11228|11250) pub13  writer id: 01030000.619ebfc7.0000000f.00000102(bd263301)
(11228|11250) pub13->started
(11228|11250) pub13  10% (7 samples sent)
(11228|11250) pub13  20% (13 samples sent)
(11228|11246) pub10  90% (58 samples sent)
(11228|11250) pub13  31% (20 samples sent)
(11228|11246) pub10  100% (64 samples sent)
(11228|11246) pub10->wait_match() before write for 01030000.619ebfc7.0000000c.00000102(fa8649d1)
(11228|11246) pub10<-match found! before write for 01030000.619ebfc7.0000000c.00000102(fa8649d1)
(11228|11246) pub10  waiting for acks
(11228|11250) pub13  40% (26 samples sent)
(11228|11247) pub9  waiting for acks returned
(11228|11247) pub9<-delete_contained_entities
(11228|11250) pub13  50% (32 samples sent)
(11228|11250) pub13  60% (39 samples sent)
(11228|11250) pub13  70% (45 samples sent)
(11228|11250) pub13  81% (52 samples sent)
(11228|11246) pub10  waiting for acks returned
(11228|11246) pub10<-delete_contained_entities
(11228|11250) pub13  90% (58 samples sent)
(11228|11250) pub13  100% (64 samples sent)
(11228|11250) pub13->wait_match() before write for 01030000.619ebfc7.0000000f.00000102(bd263301)
(11228|11250) pub13<-match found! before write for 01030000.619ebfc7.0000000f.00000102(bd263301)
(11228|11250) pub13  waiting for acks
(11228|11252) pub14  writer id: 01030000.619ebfc7.00000012.00000102(25566032)
(11228|11252) pub14->started
(11228|11252) pub14  10% (7 samples sent)
(11228|11252) pub14  20% (13 samples sent)
(11228|11252) pub14  31% (20 samples sent)
(11228|11252) pub14  40% (26 samples sent)
(11228|11252) pub14  50% (32 samples sent)
(11228|11252) pub14  60% (39 samples sent)
(11228|11252) pub14  70% (45 samples sent)
(11228|11252) pub14  81% (52 samples sent)
(11228|11252) pub14  90% (58 samples sent)
(11228|11252) pub14  100% (64 samples sent)
(11228|11252) pub14->wait_match() before write for 01030000.619ebfc7.00000012.00000102(25566032)
(11228|11252) pub14<-match found! before write for 01030000.619ebfc7.00000012.00000102(25566032)
(11228|11252) pub14  waiting for acks
(11228|11249) pub12  writer id: 01030000.619ebfc7.0000000d.00000102(c7e66061)
(11228|11249) pub12->started
(11228|11249) pub12  10% (7 samples sent)
(11228|11249) pub12  20% (13 samples sent)
(11228|11249) pub12  31% (20 samples sent)
(11228|11249) pub12  40% (26 samples sent)
(11228|11249) pub12  50% (32 samples sent)
(11228|11249) pub12  60% (39 samples sent)
(11228|11249) pub12  70% (45 samples sent)
(11228|11249) pub12  81% (52 samples sent)
(11228|11249) pub12  90% (58 samples sent)
(11228|11249) pub12  100% (64 samples sent)
(11228|11249) pub12->wait_match() before write for 01030000.619ebfc7.0000000d.00000102(c7e66061)
(11228|11250) pub13  waiting for acks returned
(11228|11250) pub13<-delete_contained_entities
(11228|11249) pub12<-match found! before write for 01030000.619ebfc7.0000000d.00000102(c7e66061)
(11228|11249) pub12  waiting for acks
(11228|11252) pub14  waiting for acks returned
(11228|11252) pub14<-delete_contained_entities
(11228|11249) pub12  waiting for acks returned
(11228|11249) pub12<-delete_contained_entities
(11228|11244) pub6  writer id: 01030000.619ebfc7.0000000b.00000102(48a695c1)
(11228|11244) pub6->started
(11228|11244) pub6  10% (7 samples sent)
(11228|11244) pub6  20% (13 samples sent)
(11228|11244) pub6  31% (20 samples sent)
(11228|11244) pub6  40% (26 samples sent)
(11228|11244) pub6  50% (32 samples sent)
(11228|11244) pub6  60% (39 samples sent)
(11228|11244) pub6  70% (45 samples sent)
(11228|11244) pub6  81% (52 samples sent)
(11228|11244) pub6  90% (58 samples sent)
(11228|11244) pub6  100% (64 samples sent)
(11228|11244) pub6->wait_match() before write for 01030000.619ebfc7.0000000b.00000102(48a695c1)
(11228|11244) pub6<-match found! before write for 01030000.619ebfc7.0000000b.00000102(48a695c1)
(11228|11244) pub6  waiting for acks
(11228|11251) pub15  writer id: 01030000.619ebfc7.00000011.00000102(62f61ae2)
(11228|11251) pub15->started
(11228|11251) pub15  10% (7 samples sent)
(11228|11251) pub15  20% (13 samples sent)
(11228|11251) pub15  31% (20 samples sent)
(11228|11251) pub15  40% (26 samples sent)
(11228|11244) pub6  waiting for acks returned
(11228|11244) pub6<-delete_contained_entities
(11228|11251) pub15  50% (32 samples sent)
(11228|11240) pub0  writer id: 01030000.619ebfc7.0000000e.00000102(80461ab1)
(11228|11240) pub0->started
(11228|11240) pub0  10% (7 samples sent)
(11228|11240) pub0  20% (13 samples sent)
(11228|11240) pub0  31% (20 samples sent)
(11228|11240) pub0  40% (26 samples sent)
(11228|11240) pub0  50% (32 samples sent)
(11228|11240) pub0  60% (39 samples sent)
(11228|11240) pub0  70% (45 samples sent)
(11228|11240) pub0  81% (52 samples sent)
(11228|11240) pub0  90% (58 samples sent)
(11228|11240) pub0  100% (64 samples sent)
(11228|11240) pub0->wait_match() before write for 01030000.619ebfc7.0000000e.00000102(80461ab1)
(11228|11251) pub15  60% (39 samples sent)
(11228|11240) pub0<-match found! before write for 01030000.619ebfc7.0000000e.00000102(80461ab1)
(11228|11240) pub0  waiting for acks
(11228|11240) pub0  waiting for acks returned
(11228|11240) pub0<-delete_contained_entities
(11228|11251) pub15  70% (45 samples sent)
(11228|11241) pub5  writer id: 01030000.619ebfc7.00000009.00000102(3266c6a1)
(11228|11241) pub5->started
(11228|11251) pub15  81% (52 samples sent)
(11228|11241) pub5  10% (7 samples sent)
(11228|11241) pub5  20% (13 samples sent)
(11228|11241) pub5  31% (20 samples sent)
(11228|11241) pub5  40% (26 samples sent)
(11228|11241) pub5  50% (32 samples sent)
(11228|11251) pub15  90% (58 samples sent)
(11228|11241) pub5  60% (39 samples sent)
(11228|11241) pub5  70% (45 samples sent)
(11228|11251) pub15  100% (64 samples sent)
(11228|11251) pub15->wait_match() before write for 01030000.619ebfc7.00000011.00000102(62f61ae2)
(11228|11241) pub5  81% (52 samples sent)
(11228|11241) pub5  90% (58 samples sent)
(11228|11241) pub5  100% (64 samples sent)
(11228|11241) pub5->wait_match() before write for 01030000.619ebfc7.00000009.00000102(3266c6a1)
(11228|11236)  sub 20% (205 samples received)
(11228|11241) pub5<-match found! before write for 01030000.619ebfc7.00000009.00000102(3266c6a1)
(11228|11241) pub5  waiting for acks
(11228|11251) pub15<-match found! before write for 01030000.619ebfc7.00000011.00000102(62f61ae2)
(11228|11251) pub15  waiting for acks
(11228|11241) pub5  waiting for acks returned
(11228|11241) pub5<-delete_contained_entities
(11228|11245) pub2  writer id: 01030000.619ebfc7.00000005.00000102(f7962ba0)
(11228|11245) pub2->started
(11228|11251) pub15  waiting for acks returned
(11228|11251) pub15<-delete_contained_entities
(11228|11245) pub2  10% (7 samples sent)
(11228|11245) pub2  20% (13 samples sent)
(11228|11245) pub2  31% (20 samples sent)
(11228|11245) pub2  40% (26 samples sent)
(11228|11245) pub2  50% (32 samples sent)
(11228|11245) pub2  60% (39 samples sent)
(11228|11245) pub2  70% (45 samples sent)
(11228|11245) pub2  81% (52 samples sent)
(11228|11245) pub2  90% (58 samples sent)
(11228|11245) pub2  100% (64 samples sent)
(11228|11245) pub2->wait_match() before write for 01030000.619ebfc7.00000005.00000102(f7962ba0)
(11228|11245) pub2<-match found! before write for 01030000.619ebfc7.00000005.00000102(f7962ba0)
(11228|11245) pub2  waiting for acks
(11228|11245) pub2  waiting for acks returned
(11228|11245) pub2<-delete_contained_entities
(11228|11239) pub8  writer id: 01030000.619ebfc7.00000008.00000102(0f06ef11)
(11228|11239) pub8->started
(11228|11239) pub8  10% (7 samples sent)
(11228|11239) pub8  20% (13 samples sent)
(11228|11239) pub8  31% (20 samples sent)
(11228|11239) pub8  40% (26 samples sent)
(11228|11239) pub8  50% (32 samples sent)
(11228|11239) pub8  60% (39 samples sent)
(11228|11239) pub8  70% (45 samples sent)
(11228|11239) pub8  81% (52 samples sent)
(11228|11239) pub8  90% (58 samples sent)
(11228|11239) pub8  100% (64 samples sent)
(11228|11239) pub8->wait_match() before write for 01030000.619ebfc7.00000008.00000102(0f06ef11)
(11228|11239) pub8<-match found! before write for 01030000.619ebfc7.00000008.00000102(0f06ef11)
(11228|11239) pub8  waiting for acks
(11228|11239) pub8  waiting for acks returned
(11228|11239) pub8<-delete_contained_entities
(11228|11248) pub3  writer id: 01030000.619ebfc7.00000007.00000102(8d5678c0)
(11228|11248) pub3->started
(11228|11248) pub3  10% (7 samples sent)
(11228|11248) pub3  20% (13 samples sent)
(11228|11248) pub3  31% (20 samples sent)
(11228|11248) pub3  40% (26 samples sent)
(11228|11248) pub3  50% (32 samples sent)
(11228|11248) pub3  60% (39 samples sent)
(11228|11248) pub3  70% (45 samples sent)
(11228|11248) pub3  81% (52 samples sent)
(11228|11248) pub3  90% (58 samples sent)
(11228|11248) pub3  100% (64 samples sent)
(11228|11248) pub3->wait_match() before write for 01030000.619ebfc7.00000007.00000102(8d5678c0)
(11228|11248) pub3<-match found! before write for 01030000.619ebfc7.00000007.00000102(8d5678c0)
(11228|11248) pub3  waiting for acks
(11228|11248) pub3  waiting for acks returned
(11228|11248) pub3<-delete_contained_entities
(11228|11242) pub11  writer id: 01030000.619ebfc7.00000010.00000102(5f963352)
(11228|11242) pub11->started
(11228|11242) pub11  10% (7 samples sent)
(11228|11242) pub11  20% (13 samples sent)
(11228|11242) pub11  31% (20 samples sent)
(11228|11242) pub11  40% (26 samples sent)
(11228|11242) pub11  50% (32 samples sent)
(11228|11242) pub11  60% (39 samples sent)
(11228|11242) pub11  70% (45 samples sent)
(11228|11242) pub11  81% (52 samples sent)
(11228|11242) pub11  90% (58 samples sent)
(11228|11242) pub11  100% (64 samples sent)
(11228|11242) pub11->wait_match() before write for 01030000.619ebfc7.00000010.00000102(5f963352)
(11228|11242) pub11<-match found! before write for 01030000.619ebfc7.00000010.00000102(5f963352)
(11228|11242) pub11  waiting for acks
(11228|11242) pub11  waiting for acks returned
(11228|11242) pub11<-delete_contained_entities
(11228|11238) pub1  writer id: 01030000.619ebfc7.00000003.00000102(78d6de00)
(11228|11238) pub1->started
(11228|11238) pub1  10% (7 samples sent)
(11228|11238) pub1  20% (13 samples sent)
(11228|11238) pub1  31% (20 samples sent)
(11228|11238) pub1  40% (26 samples sent)
(11228|11238) pub1  50% (32 samples sent)
(11228|11238) pub1  60% (39 samples sent)
(11228|11238) pub1  70% (45 samples sent)
(11228|11238) pub1  81% (52 samples sent)
(11228|11238) pub1  90% (58 samples sent)
(11228|11238) pub1  100% (64 samples sent)
(11228|11238) pub1->wait_match() before write for 01030000.619ebfc7.00000003.00000102(78d6de00)
(11228|11236) sub condition_.notify_all
(11228|11238) pub1<-match found! before write for 01030000.619ebfc7.00000003.00000102(78d6de00)
(11228|11238) pub1  waiting for acks
(11228|11228) sub condition_.wait returned
(11228|11228) sub check_received
(11228|11228) sub check_received returns 0
(11228|11228) <- PublisherService::end
(11228|11238) pub1  waiting for acks returned
(11228|11238) pub1<-delete_contained_entities
(11228|11237) pub7<-delete_participant
(11228|11243) pub4<-delete_participant
(11228|11247) pub9<-delete_participant
(11228|11246) pub10<-delete_participant
(11228|11250) pub13<-delete_participant
(11228|11252) pub14<-delete_participant
(11228|11249) pub12<-delete_participant
(11228|11244) pub6<-delete_participant
(11228|11240) pub0<-delete_participant
(11228|11241) pub5<-delete_participant
(11228|11251) pub15<-delete_participant
(11228|11245) pub2<-delete_participant
(11228|11239) pub8<-delete_participant
(11228|11248) pub3<-delete_participant
(11228|11242) pub11<-delete_participant
(11228|11238) pub1<-delete_participant
(11228|11228) <- PublisherService::~PublisherService
(11228|11228) <- Subscriber delete_contained_entities
(11228|11228) <- Subscriber delete_participant
(11228|11228) <- Subscriber::~Subscriber
(11228|11228) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl medium durable Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl high durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 11254
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 32 -s 32 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 11262 started at 2023-04-19 14:19:03
(11262|11262) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(11262|11262) -> Thrasher started
(11262|11262) -> Subscriber::Subscriber
(11254|11254) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(11262|11262) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(11262|11262)    Subscriber reader id: 01030000.55daabbc.00000002.00000507(7cbdddb7)
(11262|11262) -> PublisherService::PublisherService
(11262|11262) -> PublisherService::start (32 threads)
(11262|11262) sub wait_received 0:32
(11262|11288) pub8  writer id: 01030000.55daabbc.0000000b.00000102(65ab8e4d)
(11262|11288) pub8->started
(11262|11288) pub8  12% (4 samples sent)
(11262|11288) pub8  21% (7 samples sent)
(11262|11288) pub8  31% (10 samples sent)
(11262|11288) pub8  40% (13 samples sent)
(11262|11288) pub8  50% (16 samples sent)
(11262|11288) pub8  62% (20 samples sent)
(11262|11288) pub8  71% (23 samples sent)
(11262|11288) pub8  81% (26 samples sent)
(11262|11288) pub8  90% (29 samples sent)
(11262|11288) pub8  100% (32 samples sent)
(11262|11288) pub8->wait_match() before write for 01030000.55daabbc.0000000b.00000102(65ab8e4d)
(11262|11288) pub8<-match found! before write for 01030000.55daabbc.0000000b.00000102(65ab8e4d)
(11262|11288) pub8  waiting for acks
(11262|11288) pub8  waiting for acks returned
(11262|11288) pub8<-delete_contained_entities
(11262|11271) pub0  writer id: 01030000.55daabbc.00000003.00000102(55dbc58c)
(11262|11271) pub0->started
(11262|11271) pub0  12% (4 samples sent)
(11262|11271) pub0  21% (7 samples sent)
(11262|11271) pub0  31% (10 samples sent)
(11262|11271) pub0  40% (13 samples sent)
(11262|11271) pub0  50% (16 samples sent)
(11262|11271) pub0  62% (20 samples sent)
(11262|11271) pub0  71% (23 samples sent)
(11262|11271) pub0  81% (26 samples sent)
(11262|11271) pub0  90% (29 samples sent)
(11262|11271) pub0  100% (32 samples sent)
(11262|11271) pub0->wait_match() before write for 01030000.55daabbc.00000003.00000102(55dbc58c)
(11262|11271) pub0<-match found! before write for 01030000.55daabbc.00000003.00000102(55dbc58c)
(11262|11271) pub0  waiting for acks
(11262|11271) pub0  waiting for acks returned
(11262|11271) pub0<-delete_contained_entities
(11262|11285) pub23  writer id: 01030000.55daabbc.0000001a.00000102(382b307f)
(11262|11285) pub23->started
(11262|11285) pub23  12% (4 samples sent)
(11262|11285) pub23  21% (7 samples sent)
(11262|11285) pub23  31% (10 samples sent)
(11262|11285) pub23  40% (13 samples sent)
(11262|11285) pub23  50% (16 samples sent)
(11262|11285) pub23  62% (20 samples sent)
(11262|11285) pub23  71% (23 samples sent)
(11262|11285) pub23  81% (26 samples sent)
(11262|11285) pub23  90% (29 samples sent)
(11262|11285) pub23  100% (32 samples sent)
(11262|11285) pub23->wait_match() before write for 01030000.55daabbc.0000001a.00000102(382b307f)
(11262|11285) pub23<-match found! before write for 01030000.55daabbc.0000001a.00000102(382b307f)
(11262|11285) pub23  waiting for acks
(11262|11285) pub23  waiting for acks returned
(11262|11285) pub23<-delete_contained_entities
(11262|11284) pub6  writer id: 01030000.55daabbc.00000009.00000102(1f6bdd2d)
(11262|11284) pub6->started
(11262|11284) pub6  12% (4 samples sent)
(11262|11284) pub6  21% (7 samples sent)
(11262|11284) pub6  31% (10 samples sent)
(11262|11284) pub6  40% (13 samples sent)
(11262|11284) pub6  50% (16 samples sent)
(11262|11284) pub6  62% (20 samples sent)
(11262|11284) pub6  71% (23 samples sent)
(11262|11284) pub6  81% (26 samples sent)
(11262|11284) pub6  90% (29 samples sent)
(11262|11284) pub6  100% (32 samples sent)
(11262|11284) pub6->wait_match() before write for 01030000.55daabbc.00000009.00000102(1f6bdd2d)
(11262|11284) pub6<-match found! before write for 01030000.55daabbc.00000009.00000102(1f6bdd2d)
(11262|11284) pub6  waiting for acks
(11262|11284) pub6  waiting for acks returned
(11262|11284) pub6<-delete_contained_entities
(11262|11286) pub7  writer id: 01030000.55daabbc.0000000a.00000102(58cba7fd)
(11262|11286) pub7->started
(11262|11286) pub7  12% (4 samples sent)
(11262|11286) pub7  21% (7 samples sent)
(11262|11286) pub7  31% (10 samples sent)
(11262|11286) pub7  40% (13 samples sent)
(11262|11286) pub7  50% (16 samples sent)
(11262|11286) pub7  62% (20 samples sent)
(11262|11286) pub7  71% (23 samples sent)
(11262|11286) pub7  81% (26 samples sent)
(11262|11286) pub7  90% (29 samples sent)
(11262|11286) pub7  100% (32 samples sent)
(11262|11286) pub7->wait_match() before write for 01030000.55daabbc.0000000a.00000102(58cba7fd)
(11262|11286) pub7<-match found! before write for 01030000.55daabbc.0000000a.00000102(58cba7fd)
(11262|11286) pub7  waiting for acks
(11262|11286) pub7  waiting for acks returned
(11262|11286) pub7<-delete_contained_entities
(11262|11278) pub3  writer id: 01030000.55daabbc.00000006.00000102(9d3b4afc)
(11262|11278) pub3->started
(11262|11278) pub3  12% (4 samples sent)
(11262|11278) pub3  21% (7 samples sent)
(11262|11278) pub3  31% (10 samples sent)
(11262|11278) pub3  40% (13 samples sent)
(11262|11278) pub3  50% (16 samples sent)
(11262|11278) pub3  62% (20 samples sent)
(11262|11278) pub3  71% (23 samples sent)
(11262|11278) pub3  81% (26 samples sent)
(11262|11278) pub3  90% (29 samples sent)
(11262|11278) pub3  100% (32 samples sent)
(11262|11278) pub3->wait_match() before write for 01030000.55daabbc.00000006.00000102(9d3b4afc)
(11262|11278) pub3<-match found! before write for 01030000.55daabbc.00000006.00000102(9d3b4afc)
(11262|11278) pub3  waiting for acks
(11262|11278) pub3  waiting for acks returned
(11262|11278) pub3<-delete_contained_entities
(11262|11274) pub1  writer id: 01030000.55daabbc.00000004.00000102(e7fb199c)
(11262|11274) pub1->started
(11262|11274) pub1  12% (4 samples sent)
(11262|11274) pub1  21% (7 samples sent)
(11262|11274) pub1  31% (10 samples sent)
(11262|11274) pub1  40% (13 samples sent)
(11262|11274) pub1  50% (16 samples sent)
(11262|11274) pub1  62% (20 samples sent)
(11262|11274) pub1  71% (23 samples sent)
(11262|11274) pub1  81% (26 samples sent)
(11262|11274) pub1  90% (29 samples sent)
(11262|11274) pub1  100% (32 samples sent)
(11262|11274) pub1->wait_match() before write for 01030000.55daabbc.00000004.00000102(e7fb199c)
(11262|11274) pub1<-match found! before write for 01030000.55daabbc.00000004.00000102(e7fb199c)
(11262|11274) pub1  waiting for acks
(11262|11274) pub1  waiting for acks returned
(11262|11274) pub1<-delete_contained_entities
(11262|11273) pub2  writer id: 01030000.55daabbc.00000005.00000102(da9b302c)
(11262|11273) pub2->started
(11262|11273) pub2  12% (4 samples sent)
(11262|11273) pub2  21% (7 samples sent)
(11262|11273) pub2  31% (10 samples sent)
(11262|11273) pub2  40% (13 samples sent)
(11262|11273) pub2  50% (16 samples sent)
(11262|11273) pub2  62% (20 samples sent)
(11262|11273) pub2  71% (23 samples sent)
(11262|11273) pub2  81% (26 samples sent)
(11262|11273) pub2  90% (29 samples sent)
(11262|11273) pub2  100% (32 samples sent)
(11262|11273) pub2->wait_match() before write for 01030000.55daabbc.00000005.00000102(da9b302c)
(11262|11273) pub2<-match found! before write for 01030000.55daabbc.00000005.00000102(da9b302c)
(11262|11273) pub2  waiting for acks
(11262|11273) pub2  waiting for acks returned
(11262|11273) pub2<-delete_contained_entities
(11262|11280) pub4  writer id: 01030000.55daabbc.00000007.00000102(a05b634c)
(11262|11280) pub4->started
(11262|11280) pub4  12% (4 samples sent)
(11262|11280) pub4  21% (7 samples sent)
(11262|11280) pub4  31% (10 samples sent)
(11262|11280) pub4  40% (13 samples sent)
(11262|11280) pub4  50% (16 samples sent)
(11262|11280) pub4  62% (20 samples sent)
(11262|11280) pub4  71% (23 samples sent)
(11262|11280) pub4  81% (26 samples sent)
(11262|11280) pub4  90% (29 samples sent)
(11262|11280) pub4  100% (32 samples sent)
(11262|11280) pub4->wait_match() before write for 01030000.55daabbc.00000007.00000102(a05b634c)
(11262|11280) pub4<-match found! before write for 01030000.55daabbc.00000007.00000102(a05b634c)
(11262|11280) pub4  waiting for acks
(11262|11280) pub4  waiting for acks returned
(11262|11280) pub4<-delete_contained_entities
(11262|11282) pub5  writer id: 01030000.55daabbc.00000008.00000102(220bf49d)
(11262|11282) pub5->started
(11262|11282) pub5  12% (4 samples sent)
(11262|11282) pub5  21% (7 samples sent)
(11262|11282) pub5  31% (10 samples sent)
(11262|11282) pub5  40% (13 samples sent)
(11262|11282) pub5  50% (16 samples sent)
(11262|11282) pub5  62% (20 samples sent)
(11262|11282) pub5  71% (23 samples sent)
(11262|11282) pub5  81% (26 samples sent)
(11262|11282) pub5  90% (29 samples sent)
(11262|11282) pub5  100% (32 samples sent)
(11262|11282) pub5->wait_match() before write for 01030000.55daabbc.00000008.00000102(220bf49d)
(11262|11282) pub5<-match found! before write for 01030000.55daabbc.00000008.00000102(220bf49d)
(11262|11282) pub5  waiting for acks
(11262|11282) pub5  waiting for acks returned
(11262|11282) pub5<-delete_contained_entities
(11262|11289) pub9  writer id: 01030000.55daabbc.0000000c.00000102(d78b525d)
(11262|11289) pub9->started
(11262|11289) pub9  12% (4 samples sent)
(11262|11289) pub9  21% (7 samples sent)
(11262|11289) pub9  31% (10 samples sent)
(11262|11289) pub9  40% (13 samples sent)
(11262|11289) pub9  50% (16 samples sent)
(11262|11289) pub9  62% (20 samples sent)
(11262|11289) pub9  71% (23 samples sent)
(11262|11289) pub9  81% (26 samples sent)
(11262|11289) pub9  90% (29 samples sent)
(11262|11289) pub9  100% (32 samples sent)
(11262|11289) pub9->wait_match() before write for 01030000.55daabbc.0000000c.00000102(d78b525d)
(11262|11289) pub9<-match found! before write for 01030000.55daabbc.0000000c.00000102(d78b525d)
(11262|11289) pub9  waiting for acks
(11262|11289) pub9  waiting for acks returned
(11262|11289) pub9<-delete_contained_entities
(11262|11290) pub10  writer id: 01030000.55daabbc.0000000d.00000102(eaeb7bed)
(11262|11290) pub10->started
(11262|11290) pub10  12% (4 samples sent)
(11262|11290) pub10  21% (7 samples sent)
(11262|11290) pub10  31% (10 samples sent)
(11262|11290) pub10  40% (13 samples sent)
(11262|11290) pub10  50% (16 samples sent)
(11262|11290) pub10  62% (20 samples sent)
(11262|11290) pub10  71% (23 samples sent)
(11262|11290) pub10  81% (26 samples sent)
(11262|11290) pub10  90% (29 samples sent)
(11262|11290) pub10  100% (32 samples sent)
(11262|11290) pub10->wait_match() before write for 01030000.55daabbc.0000000d.00000102(eaeb7bed)
(11262|11290) pub10<-match found! before write for 01030000.55daabbc.0000000d.00000102(eaeb7bed)
(11262|11290) pub10  waiting for acks
(11262|11290) pub10  waiting for acks returned
(11262|11290) pub10<-delete_contained_entities
(11262|11279) pub20  writer id: 01030000.55daabbc.00000017.00000102(c0bbf4ce)
(11262|11279) pub20->started
(11262|11279) pub20  12% (4 samples sent)
(11262|11279) pub20  21% (7 samples sent)
(11262|11279) pub20  31% (10 samples sent)
(11262|11279) pub20  40% (13 samples sent)
(11262|11279) pub20  50% (16 samples sent)
(11262|11279) pub20  62% (20 samples sent)
(11262|11279) pub20  71% (23 samples sent)
(11262|11279) pub20  81% (26 samples sent)
(11262|11279) pub20  90% (29 samples sent)
(11262|11279) pub20  100% (32 samples sent)
(11262|11279) pub20->wait_match() before write for 01030000.55daabbc.00000017.00000102(c0bbf4ce)
(11262|11279) pub20<-match found! before write for 01030000.55daabbc.00000017.00000102(c0bbf4ce)
(11262|11279) pub20  waiting for acks
(11262|11279) pub20  waiting for acks returned
(11262|11279) pub20<-delete_contained_entities
(11262|11292) pub11  writer id: 01030000.55daabbc.0000000e.00000102(ad4b013d)
(11262|11292) pub11->started
(11262|11292) pub11  12% (4 samples sent)
(11262|11292) pub11  21% (7 samples sent)
(11262|11292) pub11  31% (10 samples sent)
(11262|11292) pub11  40% (13 samples sent)
(11262|11292) pub11  50% (16 samples sent)
(11262|11292) pub11  62% (20 samples sent)
(11262|11292) pub11  71% (23 samples sent)
(11262|11292) pub11  81% (26 samples sent)
(11262|11292) pub11  90% (29 samples sent)
(11262|11292) pub11  100% (32 samples sent)
(11262|11292) pub11->wait_match() before write for 01030000.55daabbc.0000000e.00000102(ad4b013d)
(11262|11292) pub11<-match found! before write for 01030000.55daabbc.0000000e.00000102(ad4b013d)
(11262|11292) pub11  waiting for acks
(11262|11292) pub11  waiting for acks returned
(11262|11292) pub11<-delete_contained_entities
(11262|11299) pub29  writer id: 01030000.55daabbc.00000020.00000102(d3ba9058)
(11262|11299) pub29->started
(11262|11299) pub29  12% (4 samples sent)
(11262|11299) pub29  21% (7 samples sent)
(11262|11299) pub29  31% (10 samples sent)
(11262|11299) pub29  40% (13 samples sent)
(11262|11299) pub29  50% (16 samples sent)
(11262|11299) pub29  62% (20 samples sent)
(11262|11299) pub29  71% (23 samples sent)
(11262|11299) pub29  81% (26 samples sent)
(11262|11299) pub29  90% (29 samples sent)
(11262|11299) pub29  100% (32 samples sent)
(11262|11299) pub29->wait_match() before write for 01030000.55daabbc.00000020.00000102(d3ba9058)
(11262|11299) pub29<-match found! before write for 01030000.55daabbc.00000020.00000102(d3ba9058)
(11262|11299) pub29  waiting for acks
(11262|11299) pub29  waiting for acks returned
(11262|11299) pub29<-delete_contained_entities
(11262|11294) pub12  writer id: 01030000.55daabbc.0000000f.00000102(902b288d)
(11262|11294) pub12->started
(11262|11294) pub12  12% (4 samples sent)
(11262|11294) pub12  21% (7 samples sent)
(11262|11294) pub12  31% (10 samples sent)
(11262|11294) pub12  40% (13 samples sent)
(11262|11294) pub12  50% (16 samples sent)
(11262|11294) pub12  62% (20 samples sent)
(11262|11294) pub12  71% (23 samples sent)
(11262|11294) pub12  81% (26 samples sent)
(11262|11294) pub12  90% (29 samples sent)
(11262|11294) pub12  100% (32 samples sent)
(11262|11294) pub12->wait_match() before write for 01030000.55daabbc.0000000f.00000102(902b288d)
(11262|11294) pub12<-match found! before write for 01030000.55daabbc.0000000f.00000102(902b288d)
(11262|11294) pub12  waiting for acks
(11262|11294) pub12  waiting for acks returned
(11262|11294) pub12<-delete_contained_entities
(11262|11301) pub30  writer id: 01030000.55daabbc.00000021.00000102(eedab9e8)
(11262|11301) pub30->started
(11262|11301) pub30  12% (4 samples sent)
(11262|11301) pub30  21% (7 samples sent)
(11262|11301) pub30  31% (10 samples sent)
(11262|11301) pub30  40% (13 samples sent)
(11262|11301) pub30  50% (16 samples sent)
(11262|11301) pub30  62% (20 samples sent)
(11262|11301) pub30  71% (23 samples sent)
(11262|11301) pub30  81% (26 samples sent)
(11262|11301) pub30  90% (29 samples sent)
(11262|11301) pub30  100% (32 samples sent)
(11262|11301) pub30->wait_match() before write for 01030000.55daabbc.00000021.00000102(eedab9e8)
(11262|11301) pub30<-match found! before write for 01030000.55daabbc.00000021.00000102(eedab9e8)
(11262|11301) pub30  waiting for acks
(11262|11301) pub30  waiting for acks returned
(11262|11301) pub30<-delete_contained_entities
(11262|11297) pub14  writer id: 01030000.55daabbc.00000011.00000102(4ffb016e)
(11262|11297) pub14->started
(11262|11297) pub14  12% (4 samples sent)
(11262|11297) pub14  21% (7 samples sent)
(11262|11297) pub14  31% (10 samples sent)
(11262|11297) pub14  40% (13 samples sent)
(11262|11297) pub14  50% (16 samples sent)
(11262|11297) pub14  62% (20 samples sent)
(11262|11297) pub14  71% (23 samples sent)
(11262|11297) pub14  81% (26 samples sent)
(11262|11297) pub14  90% (29 samples sent)
(11262|11297) pub14  100% (32 samples sent)
(11262|11297) pub14->wait_match() before write for 01030000.55daabbc.00000011.00000102(4ffb016e)
(11262|11297) pub14<-match found! before write for 01030000.55daabbc.00000011.00000102(4ffb016e)
(11262|11297) pub14  waiting for acks
(11262|11297) pub14  waiting for acks returned
(11262|11297) pub14<-delete_contained_entities
(11262|11296) pub13  writer id: 01030000.55daabbc.00000010.00000102(729b28de)
(11262|11296) pub13->started
(11262|11296) pub13  12% (4 samples sent)
(11262|11296) pub13  21% (7 samples sent)
(11262|11296) pub13  31% (10 samples sent)
(11262|11296) pub13  40% (13 samples sent)
(11262|11296) pub13  50% (16 samples sent)
(11262|11296) pub13  62% (20 samples sent)
(11262|11296) pub13  71% (23 samples sent)
(11262|11296) pub13  81% (26 samples sent)
(11262|11296) pub13  90% (29 samples sent)
(11262|11296) pub13  100% (32 samples sent)
(11262|11296) pub13->wait_match() before write for 01030000.55daabbc.00000010.00000102(729b28de)
(11262|11296) pub13<-match found! before write for 01030000.55daabbc.00000010.00000102(729b28de)
(11262|11296) pub13  waiting for acks
(11262|11296) pub13  waiting for acks returned
(11262|11296) pub13<-delete_contained_entities
(11262|11276) pub17  writer id: 01030000.55daabbc.00000014.00000102(871b8e1e)
(11262|11276) pub17->started
(11262|11276) pub17  12% (4 samples sent)
(11262|11276) pub17  21% (7 samples sent)
(11262|11276) pub17  31% (10 samples sent)
(11262|11276) pub17  40% (13 samples sent)
(11262|11276) pub17  50% (16 samples sent)
(11262|11276) pub17  62% (20 samples sent)
(11262|11276) pub17  71% (23 samples sent)
(11262|11276) pub17  81% (26 samples sent)
(11262|11276) pub17  90% (29 samples sent)
(11262|11276) pub17  100% (32 samples sent)
(11262|11276) pub17->wait_match() before write for 01030000.55daabbc.00000014.00000102(871b8e1e)
(11262|11276) pub17<-match found! before write for 01030000.55daabbc.00000014.00000102(871b8e1e)
(11262|11276) pub17  waiting for acks
(11262|11276) pub17  waiting for acks returned
(11262|11276) pub17<-delete_contained_entities
(11262|11300) pub15  writer id: 01030000.55daabbc.00000012.00000102(085b7bbe)
(11262|11300) pub15->started
(11262|11300) pub15  12% (4 samples sent)
(11262|11300) pub15  21% (7 samples sent)
(11262|11300) pub15  31% (10 samples sent)
(11262|11300) pub15  40% (13 samples sent)
(11262|11300) pub15  50% (16 samples sent)
(11262|11300) pub15  62% (20 samples sent)
(11262|11300) pub15  71% (23 samples sent)
(11262|11300) pub15  81% (26 samples sent)
(11262|11300) pub15  90% (29 samples sent)
(11262|11300) pub15  100% (32 samples sent)
(11262|11300) pub15->wait_match() before write for 01030000.55daabbc.00000012.00000102(085b7bbe)
(11262|11300) pub15<-match found! before write for 01030000.55daabbc.00000012.00000102(085b7bbe)
(11262|11300) pub15  waiting for acks
(11262|11300) pub15  waiting for acks returned
(11262|11300) pub15<-delete_contained_entities
(11262|11302) pub16  writer id: 01030000.55daabbc.00000013.00000102(353b520e)
(11262|11302) pub16->started
(11262|11302) pub16  12% (4 samples sent)
(11262|11302) pub16  21% (7 samples sent)
(11262|11302) pub16  31% (10 samples sent)
(11262|11302) pub16  40% (13 samples sent)
(11262|11302) pub16  50% (16 samples sent)
(11262|11302) pub16  62% (20 samples sent)
(11262|11302) pub16  71% (23 samples sent)
(11262|11302) pub16  81% (26 samples sent)
(11262|11302) pub16  90% (29 samples sent)
(11262|11302) pub16  100% (32 samples sent)
(11262|11302) pub16->wait_match() before write for 01030000.55daabbc.00000013.00000102(353b520e)
(11262|11302) pub16<-match found! before write for 01030000.55daabbc.00000013.00000102(353b520e)
(11262|11302) pub16  waiting for acks
(11262|11302) pub16  waiting for acks returned
(11262|11302) pub16<-delete_contained_entities
(11262|11272) pub18  writer id: 01030000.55daabbc.00000015.00000102(ba7ba7ae)
(11262|11272) pub18->started
(11262|11272) pub18  12% (4 samples sent)
(11262|11272) pub18  21% (7 samples sent)
(11262|11272) pub18  31% (10 samples sent)
(11262|11272) pub18  40% (13 samples sent)
(11262|11272) pub18  50% (16 samples sent)
(11262|11272) pub18  62% (20 samples sent)
(11262|11272) pub18  71% (23 samples sent)
(11262|11272) pub18  81% (26 samples sent)
(11262|11272) pub18  90% (29 samples sent)
(11262|11272) pub18  100% (32 samples sent)
(11262|11272) pub18->wait_match() before write for 01030000.55daabbc.00000015.00000102(ba7ba7ae)
(11262|11270)  sub 10% (103 samples received)
(11262|11272) pub18<-match found! before write for 01030000.55daabbc.00000015.00000102(ba7ba7ae)
(11262|11272) pub18  waiting for acks
(11262|11272) pub18  waiting for acks returned
(11262|11272) pub18<-delete_contained_entities
(11262|11277) pub19  writer id: 01030000.55daabbc.00000016.00000102(fddbdd7e)
(11262|11277) pub19->started
(11262|11277) pub19  12% (4 samples sent)
(11262|11277) pub19  21% (7 samples sent)
(11262|11277) pub19  31% (10 samples sent)
(11262|11277) pub19  40% (13 samples sent)
(11262|11277) pub19  50% (16 samples sent)
(11262|11277) pub19  62% (20 samples sent)
(11262|11277) pub19  71% (23 samples sent)
(11262|11277) pub19  81% (26 samples sent)
(11262|11277) pub19  90% (29 samples sent)
(11262|11277) pub19  100% (32 samples sent)
(11262|11277) pub19->wait_match() before write for 01030000.55daabbc.00000016.00000102(fddbdd7e)
(11262|11277) pub19<-match found! before write for 01030000.55daabbc.00000016.00000102(fddbdd7e)
(11262|11277) pub19  waiting for acks
(11262|11277) pub19  waiting for acks returned
(11262|11277) pub19<-delete_contained_entities
(11262|11287) pub24  writer id: 01030000.55daabbc.0000001b.00000102(054b19cf)
(11262|11287) pub24->started
(11262|11287) pub24  12% (4 samples sent)
(11262|11287) pub24  21% (7 samples sent)
(11262|11287) pub24  31% (10 samples sent)
(11262|11287) pub24  40% (13 samples sent)
(11262|11287) pub24  50% (16 samples sent)
(11262|11287) pub24  62% (20 samples sent)
(11262|11287) pub24  71% (23 samples sent)
(11262|11287) pub24  81% (26 samples sent)
(11262|11287) pub24  90% (29 samples sent)
(11262|11287) pub24  100% (32 samples sent)
(11262|11287) pub24->wait_match() before write for 01030000.55daabbc.0000001b.00000102(054b19cf)
(11262|11287) pub24<-match found! before write for 01030000.55daabbc.0000001b.00000102(054b19cf)
(11262|11287) pub24  waiting for acks
(11262|11287) pub24  waiting for acks returned
(11262|11287) pub24<-delete_contained_entities
(11262|11281) pub21  writer id: 01030000.55daabbc.00000018.00000102(42eb631f)
(11262|11281) pub21->started
(11262|11281) pub21  12% (4 samples sent)
(11262|11281) pub21  21% (7 samples sent)
(11262|11281) pub21  31% (10 samples sent)
(11262|11281) pub21  40% (13 samples sent)
(11262|11281) pub21  50% (16 samples sent)
(11262|11281) pub21  62% (20 samples sent)
(11262|11281) pub21  71% (23 samples sent)
(11262|11281) pub21  81% (26 samples sent)
(11262|11281) pub21  90% (29 samples sent)
(11262|11281) pub21  100% (32 samples sent)
(11262|11281) pub21->wait_match() before write for 01030000.55daabbc.00000018.00000102(42eb631f)
(11262|11281) pub21<-match found! before write for 01030000.55daabbc.00000018.00000102(42eb631f)
(11262|11281) pub21  waiting for acks
(11262|11281) pub21  waiting for acks returned
(11262|11281) pub21<-delete_contained_entities
(11262|11295) pub27  writer id: 01030000.55daabbc.0000001e.00000102(cdab96bf)
(11262|11295) pub27->started
(11262|11295) pub27  12% (4 samples sent)
(11262|11295) pub27  21% (7 samples sent)
(11262|11295) pub27  31% (10 samples sent)
(11262|11295) pub27  40% (13 samples sent)
(11262|11295) pub27  50% (16 samples sent)
(11262|11295) pub27  62% (20 samples sent)
(11262|11295) pub27  71% (23 samples sent)
(11262|11295) pub27  81% (26 samples sent)
(11262|11295) pub27  90% (29 samples sent)
(11262|11295) pub27  100% (32 samples sent)
(11262|11295) pub27->wait_match() before write for 01030000.55daabbc.0000001e.00000102(cdab96bf)
(11262|11295) pub27<-match found! before write for 01030000.55daabbc.0000001e.00000102(cdab96bf)
(11262|11295) pub27  waiting for acks
(11262|11295) pub27  waiting for acks returned
(11262|11295) pub27<-delete_contained_entities
(11262|11298) pub28  writer id: 01030000.55daabbc.0000001f.00000102(f0cbbf0f)
(11262|11298) pub28->started
(11262|11298) pub28  12% (4 samples sent)
(11262|11298) pub28  21% (7 samples sent)
(11262|11298) pub28  31% (10 samples sent)
(11262|11298) pub28  40% (13 samples sent)
(11262|11298) pub28  50% (16 samples sent)
(11262|11298) pub28  62% (20 samples sent)
(11262|11298) pub28  71% (23 samples sent)
(11262|11298) pub28  81% (26 samples sent)
(11262|11298) pub28  90% (29 samples sent)
(11262|11298) pub28  100% (32 samples sent)
(11262|11298) pub28->wait_match() before write for 01030000.55daabbc.0000001f.00000102(f0cbbf0f)
(11262|11298) pub28<-match found! before write for 01030000.55daabbc.0000001f.00000102(f0cbbf0f)
(11262|11298) pub28  waiting for acks
(11262|11298) pub28  waiting for acks returned
(11262|11298) pub28<-delete_contained_entities
(11262|11275) pub31  writer id: 01030000.55daabbc.00000022.00000102(a97ac338)
(11262|11275) pub31->started
(11262|11275) pub31  12% (4 samples sent)
(11262|11275) pub31  21% (7 samples sent)
(11262|11275) pub31  31% (10 samples sent)
(11262|11275) pub31  40% (13 samples sent)
(11262|11275) pub31  50% (16 samples sent)
(11262|11275) pub31  62% (20 samples sent)
(11262|11275) pub31  71% (23 samples sent)
(11262|11275) pub31  81% (26 samples sent)
(11262|11275) pub31  90% (29 samples sent)
(11262|11275) pub31  100% (32 samples sent)
(11262|11275) pub31->wait_match() before write for 01030000.55daabbc.00000022.00000102(a97ac338)
(11262|11275) pub31<-match found! before write for 01030000.55daabbc.00000022.00000102(a97ac338)
(11262|11275) pub31  waiting for acks
(11262|11275) pub31  waiting for acks returned
(11262|11275) pub31<-delete_contained_entities
(11262|11291) pub25  writer id: 01030000.55daabbc.0000001c.00000102(b76bc5df)
(11262|11291) pub25->started
(11262|11291) pub25  12% (4 samples sent)
(11262|11291) pub25  21% (7 samples sent)
(11262|11291) pub25  31% (10 samples sent)
(11262|11291) pub25  40% (13 samples sent)
(11262|11291) pub25  50% (16 samples sent)
(11262|11291) pub25  62% (20 samples sent)
(11262|11291) pub25  71% (23 samples sent)
(11262|11291) pub25  81% (26 samples sent)
(11262|11291) pub25  90% (29 samples sent)
(11262|11291) pub25  100% (32 samples sent)
(11262|11291) pub25->wait_match() before write for 01030000.55daabbc.0000001c.00000102(b76bc5df)
(11262|11291) pub25<-match found! before write for 01030000.55daabbc.0000001c.00000102(b76bc5df)
(11262|11291) pub25  waiting for acks
(11262|11291) pub25  waiting for acks returned
(11262|11291) pub25<-delete_contained_entities
(11262|11283) pub22  writer id: 01030000.55daabbc.00000019.00000102(7f8b4aaf)
(11262|11283) pub22->started
(11262|11283) pub22  12% (4 samples sent)
(11262|11283) pub22  21% (7 samples sent)
(11262|11283) pub22  31% (10 samples sent)
(11262|11283) pub22  40% (13 samples sent)
(11262|11283) pub22  50% (16 samples sent)
(11262|11283) pub22  62% (20 samples sent)
(11262|11283) pub22  71% (23 samples sent)
(11262|11283) pub22  81% (26 samples sent)
(11262|11283) pub22  90% (29 samples sent)
(11262|11283) pub22  100% (32 samples sent)
(11262|11283) pub22->wait_match() before write for 01030000.55daabbc.00000019.00000102(7f8b4aaf)
(11262|11283) pub22<-match found! before write for 01030000.55daabbc.00000019.00000102(7f8b4aaf)
(11262|11283) pub22  waiting for acks
(11262|11283) pub22  waiting for acks returned
(11262|11283) pub22<-delete_contained_entities
(11262|11293) pub26  writer id: 01030000.55daabbc.0000001d.00000102(8a0bec6f)
(11262|11293) pub26->started
(11262|11293) pub26  12% (4 samples sent)
(11262|11293) pub26  21% (7 samples sent)
(11262|11293) pub26  31% (10 samples sent)
(11262|11293) pub26  40% (13 samples sent)
(11262|11293) pub26  50% (16 samples sent)
(11262|11293) pub26  62% (20 samples sent)
(11262|11293) pub26  71% (23 samples sent)
(11262|11293) pub26  81% (26 samples sent)
(11262|11293) pub26  90% (29 samples sent)
(11262|11293) pub26  100% (32 samples sent)
(11262|11293) pub26->wait_match() before write for 01030000.55daabbc.0000001d.00000102(8a0bec6f)
(11262|11270) sub condition_.notify_all
(11262|11293) pub26<-match found! before write for 01030000.55daabbc.0000001d.00000102(8a0bec6f)
(11262|11293) pub26  waiting for acks
(11262|11262) sub condition_.wait returned
(11262|11262) sub check_received
(11262|11262) sub check_received returns 0
(11262|11262) <- PublisherService::end
(11262|11293) pub26  waiting for acks returned
(11262|11293) pub26<-delete_contained_entities
(11262|11288) pub8<-delete_participant
(11262|11271) pub0<-delete_participant
(11262|11285) pub23<-delete_participant
(11262|11284) pub6<-delete_participant
(11262|11286) pub7<-delete_participant
(11262|11278) pub3<-delete_participant
(11262|11274) pub1<-delete_participant
(11262|11273) pub2<-delete_participant
(11262|11280) pub4<-delete_participant
(11262|11282) pub5<-delete_participant
(11262|11289) pub9<-delete_participant
(11262|11290) pub10<-delete_participant
(11262|11279) pub20<-delete_participant
(11262|11292) pub11<-delete_participant
(11262|11299) pub29<-delete_participant
(11262|11294) pub12<-delete_participant
(11262|11301) pub30<-delete_participant
(11262|11297) pub14<-delete_participant
(11262|11296) pub13<-delete_participant
(11262|11276) pub17<-delete_participant
(11262|11300) pub15<-delete_participant
(11262|11302) pub16<-delete_participant
(11262|11272) pub18<-delete_participant
(11262|11277) pub19<-delete_participant
(11262|11287) pub24<-delete_participant
(11262|11281) pub21<-delete_participant
(11262|11295) pub27<-delete_participant
(11262|11298) pub28<-delete_participant
(11262|11275) pub31<-delete_participant
(11262|11291) pub25<-delete_participant
(11262|11283) pub22<-delete_participant
(11262|11293) pub26<-delete_participant
(11262|11262) <- PublisherService::~PublisherService
(11262|11262) <- Subscriber delete_contained_entities
(11262|11262) <- Subscriber delete_participant
(11262|11262) <- Subscriber::~Subscriber
(11262|11262) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl high durable Time:3s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl aggressive durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 11304
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 64 -s 16 -n 1024 -d -DCPSConfigFile thrasher.ini -DCPSPendingTimeout 3 
Thrasher PID: 11311 started at 2023-04-19 14:19:06
(11311|11311) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(11311|11311) -> Thrasher started
(11311|11311) -> Subscriber::Subscriber
(11304|11304) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(11311|11311) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(11311|11311)    Subscriber reader id: 01030000.769f1dc5.00000002.00000507(6be5efe2)
(11311|11311) -> PublisherService::PublisherService
(11311|11311) -> PublisherService::start (64 threads)
(11311|11311) sub wait_received 0:64
(11311|11320) pub0  writer id: 01030000.769f1dc5.00000003.00000102(4283f7d9)
(11311|11320) pub0->started
(11311|11320) pub0  12% (2 samples sent)
(11311|11320) pub0  25% (4 samples sent)
(11311|11320) pub0  31% (5 samples sent)
(11311|11320) pub0  43% (7 samples sent)
(11311|11320) pub0  50% (8 samples sent)
(11311|11320) pub0  62% (10 samples sent)
(11311|11320) pub0  75% (12 samples sent)
(11311|11320) pub0  81% (13 samples sent)
(11311|11320) pub0  93% (15 samples sent)
(11311|11320) pub0  100% (16 samples sent)
(11311|11320) pub0->wait_match() before write for 01030000.769f1dc5.00000003.00000102(4283f7d9)
(11311|11320) pub0<-match found! before write for 01030000.769f1dc5.00000003.00000102(4283f7d9)
(11311|11320) pub0  waiting for acks
(11311|11320) pub0  waiting for acks returned
(11311|11320) pub0<-delete_contained_entities
(11311|11321) pub1  writer id: 01030000.769f1dc5.00000004.00000102(f0a32bc9)
(11311|11321) pub1->started
(11311|11321) pub1  12% (2 samples sent)
(11311|11321) pub1  25% (4 samples sent)
(11311|11321) pub1  31% (5 samples sent)
(11311|11321) pub1  43% (7 samples sent)
(11311|11321) pub1  50% (8 samples sent)
(11311|11321) pub1  62% (10 samples sent)
(11311|11321) pub1  75% (12 samples sent)
(11311|11321) pub1  81% (13 samples sent)
(11311|11321) pub1  93% (15 samples sent)
(11311|11321) pub1  100% (16 samples sent)
(11311|11321) pub1->wait_match() before write for 01030000.769f1dc5.00000004.00000102(f0a32bc9)
(11311|11321) pub1<-match found! before write for 01030000.769f1dc5.00000004.00000102(f0a32bc9)
(11311|11321) pub1  waiting for acks
(11311|11321) pub1  waiting for acks returned
(11311|11321) pub1<-delete_contained_entities
(11311|11339) pub16  writer id: 01030000.769f1dc5.0000001d.00000102(9d53de3a)
(11311|11339) pub16->started
(11311|11339) pub16  12% (2 samples sent)
(11311|11339) pub16  25% (4 samples sent)
(11311|11339) pub16  31% (5 samples sent)
(11311|11339) pub16  43% (7 samples sent)
(11311|11339) pub16  50% (8 samples sent)
(11311|11339) pub16  62% (10 samples sent)
(11311|11339) pub16  75% (12 samples sent)
(11311|11339) pub16  81% (13 samples sent)
(11311|11339) pub16  93% (15 samples sent)
(11311|11339) pub16  100% (16 samples sent)
(11311|11339) pub16->wait_match() before write for 01030000.769f1dc5.0000001d.00000102(9d53de3a)
(11311|11339) pub16<-match found! before write for 01030000.769f1dc5.0000001d.00000102(9d53de3a)
(11311|11339) pub16  waiting for acks
(11311|11357) pub34  writer id: 01030000.769f1dc5.00000019.00000102(68d378fa)
(11311|11357) pub34->started
(11311|11357) pub34  12% (2 samples sent)
(11311|11357) pub34  25% (4 samples sent)
(11311|11357) pub34  31% (5 samples sent)
(11311|11357) pub34  43% (7 samples sent)
(11311|11357) pub34  50% (8 samples sent)
(11311|11357) pub34  62% (10 samples sent)
(11311|11357) pub34  75% (12 samples sent)
(11311|11357) pub34  81% (13 samples sent)
(11311|11357) pub34  93% (15 samples sent)
(11311|11357) pub34  100% (16 samples sent)
(11311|11357) pub34->wait_match() before write for 01030000.769f1dc5.00000019.00000102(68d378fa)
(11311|11357) pub34<-match found! before write for 01030000.769f1dc5.00000019.00000102(68d378fa)
(11311|11357) pub34  waiting for acks
(11311|11339) pub16  waiting for acks returned
(11311|11339) pub16<-delete_contained_entities
(11311|11357) pub34  waiting for acks returned
(11311|11357) pub34<-delete_contained_entities
(11311|11326) pub4  writer id: 01030000.769f1dc5.0000000d.00000102(fdb349b8)
(11311|11326) pub4->started
(11311|11326) pub4  12% (2 samples sent)
(11311|11326) pub4  25% (4 samples sent)
(11311|11326) pub4  31% (5 samples sent)
(11311|11326) pub4  43% (7 samples sent)
(11311|11326) pub4  50% (8 samples sent)
(11311|11326) pub4  62% (10 samples sent)
(11311|11326) pub4  75% (12 samples sent)
(11311|11326) pub4  81% (13 samples sent)
(11311|11326) pub4  93% (15 samples sent)
(11311|11326) pub4  100% (16 samples sent)
(11311|11326) pub4->wait_match() before write for 01030000.769f1dc5.0000000d.00000102(fdb349b8)
(11311|11326) pub4<-match found! before write for 01030000.769f1dc5.0000000d.00000102(fdb349b8)
(11311|11326) pub4  waiting for acks
(11311|11326) pub4  waiting for acks returned
(11311|11326) pub4<-delete_contained_entities
(11311|11362) pub42  writer id: 01030000.769f1dc5.0000001e.00000102(daf3a4ea)
(11311|11362) pub42->started
(11311|11362) pub42  12% (2 samples sent)
(11311|11362) pub42  25% (4 samples sent)
(11311|11362) pub42  31% (5 samples sent)
(11311|11362) pub42  43% (7 samples sent)
(11311|11362) pub42  50% (8 samples sent)
(11311|11362) pub42  62% (10 samples sent)
(11311|11362) pub42  75% (12 samples sent)
(11311|11362) pub42  81% (13 samples sent)
(11311|11362) pub42  93% (15 samples sent)
(11311|11362) pub42  100% (16 samples sent)
(11311|11362) pub42->wait_match() before write for 01030000.769f1dc5.0000001e.00000102(daf3a4ea)
(11311|11362) pub42<-match found! before write for 01030000.769f1dc5.0000001e.00000102(daf3a4ea)
(11311|11362) pub42  waiting for acks
(11311|11362) pub42  waiting for acks returned
(11311|11362) pub42<-delete_contained_entities
(11311|11346) pub39  writer id: 01030000.769f1dc5.00000034.00000102(5182934f)
(11311|11346) pub39->started
(11311|11346) pub39  12% (2 samples sent)
(11311|11346) pub39  25% (4 samples sent)
(11311|11346) pub39  31% (5 samples sent)
(11311|11346) pub39  43% (7 samples sent)
(11311|11346) pub39  50% (8 samples sent)
(11311|11346) pub39  62% (10 samples sent)
(11311|11346) pub39  75% (12 samples sent)
(11311|11346) pub39  81% (13 samples sent)
(11311|11346) pub39  93% (15 samples sent)
(11311|11346) pub39  100% (16 samples sent)
(11311|11346) pub39->wait_match() before write for 01030000.769f1dc5.00000034.00000102(5182934f)
(11311|11346) pub39<-match found! before write for 01030000.769f1dc5.00000034.00000102(5182934f)
(11311|11346) pub39  waiting for acks
(11311|11346) pub39  waiting for acks returned
(11311|11346) pub39<-delete_contained_entities
(11311|11342) pub22  writer id: 01030000.769f1dc5.0000000f.00000102(87731ad8)
(11311|11342) pub22->started
(11311|11342) pub22  12% (2 samples sent)
(11311|11342) pub22  25% (4 samples sent)
(11311|11342) pub22  31% (5 samples sent)
(11311|11342) pub22  43% (7 samples sent)
(11311|11342) pub22  50% (8 samples sent)
(11311|11342) pub22  62% (10 samples sent)
(11311|11342) pub22  75% (12 samples sent)
(11311|11342) pub22  81% (13 samples sent)
(11311|11342) pub22  93% (15 samples sent)
(11311|11342) pub22  100% (16 samples sent)
(11311|11342) pub22->wait_match() before write for 01030000.769f1dc5.0000000f.00000102(87731ad8)
(11311|11342) pub22<-match found! before write for 01030000.769f1dc5.0000000f.00000102(87731ad8)
(11311|11342) pub22  waiting for acks
(11311|11342) pub22  waiting for acks returned
(11311|11342) pub22<-delete_contained_entities
(11311|11368) pub58  writer id: 01030000.769f1dc5.0000001f.00000102(e7938d5a)
(11311|11368) pub58->started
(11311|11368) pub58  12% (2 samples sent)
(11311|11368) pub58  25% (4 samples sent)
(11311|11368) pub58  31% (5 samples sent)
(11311|11368) pub58  43% (7 samples sent)
(11311|11368) pub58  50% (8 samples sent)
(11311|11368) pub58  62% (10 samples sent)
(11311|11368) pub58  75% (12 samples sent)
(11311|11368) pub58  81% (13 samples sent)
(11311|11368) pub58  93% (15 samples sent)
(11311|11368) pub58  100% (16 samples sent)
(11311|11368) pub58->wait_match() before write for 01030000.769f1dc5.0000001f.00000102(e7938d5a)
(11311|11368) pub58<-match found! before write for 01030000.769f1dc5.0000001f.00000102(e7938d5a)
(11311|11368) pub58  waiting for acks
(11311|11368) pub58  waiting for acks returned
(11311|11368) pub58<-delete_contained_entities
(11311|11336) pub19  writer id: 01030000.769f1dc5.00000009.00000102(0833ef78)
(11311|11336) pub19->started
(11311|11336) pub19  12% (2 samples sent)
(11311|11336) pub19  25% (4 samples sent)
(11311|11336) pub19  31% (5 samples sent)
(11311|11336) pub19  43% (7 samples sent)
(11311|11336) pub19  50% (8 samples sent)
(11311|11336) pub19  62% (10 samples sent)
(11311|11336) pub19  75% (12 samples sent)
(11311|11336) pub19  81% (13 samples sent)
(11311|11336) pub19  93% (15 samples sent)
(11311|11336) pub19  100% (16 samples sent)
(11311|11336) pub19->wait_match() before write for 01030000.769f1dc5.00000009.00000102(0833ef78)
(11311|11336) pub19<-match found! before write for 01030000.769f1dc5.00000009.00000102(0833ef78)
(11311|11336) pub19  waiting for acks
(11311|11336) pub19  waiting for acks returned
(11311|11336) pub19<-delete_contained_entities
(11311|11343) pub23  writer id: 01030000.769f1dc5.00000016.00000102(ea83ef2b)
(11311|11343) pub23->started
(11311|11343) pub23  12% (2 samples sent)
(11311|11343) pub23  25% (4 samples sent)
(11311|11343) pub23  31% (5 samples sent)
(11311|11343) pub23  43% (7 samples sent)
(11311|11343) pub23  50% (8 samples sent)
(11311|11343) pub23  62% (10 samples sent)
(11311|11343) pub23  75% (12 samples sent)
(11311|11343) pub23  81% (13 samples sent)
(11311|11343) pub23  93% (15 samples sent)
(11311|11343) pub23  100% (16 samples sent)
(11311|11343) pub23->wait_match() before write for 01030000.769f1dc5.00000016.00000102(ea83ef2b)
(11311|11343) pub23<-match found! before write for 01030000.769f1dc5.00000016.00000102(ea83ef2b)
(11311|11343) pub23  waiting for acks
(11311|11343) pub23  waiting for acks returned
(11311|11343) pub23<-delete_contained_entities
(11311|11330) pub2  writer id: 01030000.769f1dc5.00000007.00000102(b7035119)
(11311|11330) pub2->started
(11311|11330) pub2  12% (2 samples sent)
(11311|11330) pub2  25% (4 samples sent)
(11311|11330) pub2  31% (5 samples sent)
(11311|11330) pub2  43% (7 samples sent)
(11311|11330) pub2  50% (8 samples sent)
(11311|11330) pub2  62% (10 samples sent)
(11311|11330) pub2  75% (12 samples sent)
(11311|11330) pub2  81% (13 samples sent)
(11311|11330) pub2  93% (15 samples sent)
(11311|11330) pub2  100% (16 samples sent)
(11311|11330) pub2->wait_match() before write for 01030000.769f1dc5.00000007.00000102(b7035119)
(11311|11330) pub2<-match found! before write for 01030000.769f1dc5.00000007.00000102(b7035119)
(11311|11330) pub2  waiting for acks
(11311|11330) pub2  waiting for acks returned
(11311|11330) pub2<-delete_contained_entities
(11311|11358) pub32  writer id: 01030000.769f1dc5.00000030.00000102(a402358f)
(11311|11358) pub32->started
(11311|11358) pub32  12% (2 samples sent)
(11311|11358) pub32  25% (4 samples sent)
(11311|11358) pub32  31% (5 samples sent)
(11311|11358) pub32  43% (7 samples sent)
(11311|11358) pub32  50% (8 samples sent)
(11311|11358) pub32  62% (10 samples sent)
(11311|11358) pub32  75% (12 samples sent)
(11311|11358) pub32  81% (13 samples sent)
(11311|11358) pub32  93% (15 samples sent)
(11311|11358) pub32  100% (16 samples sent)
(11311|11358) pub32->wait_match() before write for 01030000.769f1dc5.00000030.00000102(a402358f)
(11311|11358) pub32<-match found! before write for 01030000.769f1dc5.00000030.00000102(a402358f)
(11311|11358) pub32  waiting for acks
(11311|11358) pub32  waiting for acks returned
(11311|11358) pub32<-delete_contained_entities
(11311|11365) pub45  writer id: 01030000.769f1dc5.00000038.00000102(94727e4e)
(11311|11365) pub45->started
(11311|11365) pub45  12% (2 samples sent)
(11311|11365) pub45  25% (4 samples sent)
(11311|11365) pub45  31% (5 samples sent)
(11311|11365) pub45  43% (7 samples sent)
(11311|11365) pub45  50% (8 samples sent)
(11311|11365) pub45  62% (10 samples sent)
(11311|11365) pub45  75% (12 samples sent)
(11311|11365) pub45  81% (13 samples sent)
(11311|11365) pub45  93% (15 samples sent)
(11311|11365) pub45  100% (16 samples sent)
(11311|11365) pub45->wait_match() before write for 01030000.769f1dc5.00000038.00000102(94727e4e)
(11311|11365) pub45<-match found! before write for 01030000.769f1dc5.00000038.00000102(94727e4e)
(11311|11365) pub45  waiting for acks
(11311|11365) pub45  waiting for acks returned
(11311|11365) pub45<-delete_contained_entities
(11311|11327) pub3  writer id: 01030000.769f1dc5.0000000a.00000102(4f9395a8)
(11311|11327) pub3->started
(11311|11327) pub3  12% (2 samples sent)
(11311|11327) pub3  25% (4 samples sent)
(11311|11327) pub3  31% (5 samples sent)
(11311|11327) pub3  43% (7 samples sent)
(11311|11327) pub3  50% (8 samples sent)
(11311|11327) pub3  62% (10 samples sent)
(11311|11327) pub3  75% (12 samples sent)
(11311|11327) pub3  81% (13 samples sent)
(11311|11327) pub3  93% (15 samples sent)
(11311|11327) pub3  100% (16 samples sent)
(11311|11327) pub3->wait_match() before write for 01030000.769f1dc5.0000000a.00000102(4f9395a8)
(11311|11327) pub3<-match found! before write for 01030000.769f1dc5.0000000a.00000102(4f9395a8)
(11311|11327) pub3  waiting for acks
(11311|11327) pub3  waiting for acks returned
(11311|11327) pub3<-delete_contained_entities
(11311|11369) pub56  writer id: 01030000.769f1dc5.0000003d.00000102(5c92f13e)
(11311|11369) pub56->started
(11311|11369) pub56  12% (2 samples sent)
(11311|11369) pub56  25% (4 samples sent)
(11311|11369) pub56  31% (5 samples sent)
(11311|11369) pub56  43% (7 samples sent)
(11311|11369) pub56  50% (8 samples sent)
(11311|11369) pub56  62% (10 samples sent)
(11311|11369) pub56  75% (12 samples sent)
(11311|11369) pub56  81% (13 samples sent)
(11311|11369) pub56  93% (15 samples sent)
(11311|11369) pub56  100% (16 samples sent)
(11311|11369) pub56->wait_match() before write for 01030000.769f1dc5.0000003d.00000102(5c92f13e)
(11311|11369) pub56<-match found! before write for 01030000.769f1dc5.0000003d.00000102(5c92f13e)
(11311|11369) pub56  waiting for acks
(11311|11369) pub56  waiting for acks returned
(11311|11369) pub56<-delete_contained_entities
(11311|11325) pub5  writer id: 01030000.769f1dc5.00000008.00000102(3553c6c8)
(11311|11325) pub5->started
(11311|11325) pub5  12% (2 samples sent)
(11311|11325) pub5  25% (4 samples sent)
(11311|11325) pub5  31% (5 samples sent)
(11311|11325) pub5  43% (7 samples sent)
(11311|11325) pub5  50% (8 samples sent)
(11311|11325) pub5  62% (10 samples sent)
(11311|11325) pub5  75% (12 samples sent)
(11311|11325) pub5  81% (13 samples sent)
(11311|11325) pub5  93% (15 samples sent)
(11311|11325) pub5  100% (16 samples sent)
(11311|11325) pub5->wait_match() before write for 01030000.769f1dc5.00000008.00000102(3553c6c8)
(11311|11325) pub5<-match found! before write for 01030000.769f1dc5.00000008.00000102(3553c6c8)
(11311|11325) pub5  waiting for acks
(11311|11325) pub5  waiting for acks returned
(11311|11325) pub5<-delete_contained_entities
(11311|11331) pub10  writer id: 01030000.769f1dc5.00000013.00000102(2263605b)
(11311|11331) pub10->started
(11311|11331) pub10  12% (2 samples sent)
(11311|11331) pub10  25% (4 samples sent)
(11311|11331) pub10  31% (5 samples sent)
(11311|11331) pub10  43% (7 samples sent)
(11311|11331) pub10  50% (8 samples sent)
(11311|11331) pub10  62% (10 samples sent)
(11311|11331) pub10  75% (12 samples sent)
(11311|11331) pub10  81% (13 samples sent)
(11311|11331) pub10  93% (15 samples sent)
(11311|11331) pub10  100% (16 samples sent)
(11311|11331) pub10->wait_match() before write for 01030000.769f1dc5.00000013.00000102(2263605b)
(11311|11331) pub10<-match found! before write for 01030000.769f1dc5.00000013.00000102(2263605b)
(11311|11331) pub10  waiting for acks
(11311|11331) pub10  waiting for acks returned
(11311|11331) pub10<-delete_contained_entities
(11311|11374) pub46  writer id: 01030000.769f1dc5.0000003a.00000102(eeb22d2e)
(11311|11374) pub46->started
(11311|11374) pub46  12% (2 samples sent)
(11311|11374) pub46  25% (4 samples sent)
(11311|11374) pub46  31% (5 samples sent)
(11311|11374) pub46  43% (7 samples sent)
(11311|11374) pub46  50% (8 samples sent)
(11311|11374) pub46  62% (10 samples sent)
(11311|11374) pub46  75% (12 samples sent)
(11311|11374) pub46  81% (13 samples sent)
(11311|11374) pub46  93% (15 samples sent)
(11311|11374) pub46  100% (16 samples sent)
(11311|11374) pub46->wait_match() before write for 01030000.769f1dc5.0000003a.00000102(eeb22d2e)
(11311|11374) pub46<-match found! before write for 01030000.769f1dc5.0000003a.00000102(eeb22d2e)
(11311|11374) pub46  waiting for acks
(11311|11374) pub46  waiting for acks returned
(11311|11374) pub46<-delete_contained_entities
(11311|11333) pub12  writer id: 01030000.769f1dc5.0000000e.00000102(ba133368)
(11311|11333) pub12->started
(11311|11333) pub12  12% (2 samples sent)
(11311|11333) pub12  25% (4 samples sent)
(11311|11333) pub12  31% (5 samples sent)
(11311|11333) pub12  43% (7 samples sent)
(11311|11333) pub12  50% (8 samples sent)
(11311|11333) pub12  62% (10 samples sent)
(11311|11333) pub12  75% (12 samples sent)
(11311|11333) pub12  81% (13 samples sent)
(11311|11333) pub12  93% (15 samples sent)
(11311|11333) pub12  100% (16 samples sent)
(11311|11333) pub12->wait_match() before write for 01030000.769f1dc5.0000000e.00000102(ba133368)
(11311|11333) pub12<-match found! before write for 01030000.769f1dc5.0000000e.00000102(ba133368)
(11311|11333) pub12  waiting for acks
(11311|11333) pub12  waiting for acks returned
(11311|11333) pub12<-delete_contained_entities
(11311|11345) pub28  writer id: 01030000.769f1dc5.00000012.00000102(1f0349eb)
(11311|11345) pub28->started
(11311|11345) pub28  12% (2 samples sent)
(11311|11345) pub28  25% (4 samples sent)
(11311|11345) pub28  31% (5 samples sent)
(11311|11345) pub28  43% (7 samples sent)
(11311|11345) pub28  50% (8 samples sent)
(11311|11345) pub28  62% (10 samples sent)
(11311|11345) pub28  75% (12 samples sent)
(11311|11345) pub28  81% (13 samples sent)
(11311|11345) pub28  93% (15 samples sent)
(11311|11345) pub28  100% (16 samples sent)
(11311|11345) pub28->wait_match() before write for 01030000.769f1dc5.00000012.00000102(1f0349eb)
(11311|11345) pub28<-match found! before write for 01030000.769f1dc5.00000012.00000102(1f0349eb)
(11311|11345) pub28  waiting for acks
(11311|11345) pub28  waiting for acks returned
(11311|11345) pub28<-delete_contained_entities
(11311|11371) pub53  writer id: 01030000.769f1dc5.0000003c.00000102(61f2d88e)
(11311|11371) pub53->started
(11311|11371) pub53  12% (2 samples sent)
(11311|11371) pub53  25% (4 samples sent)
(11311|11371) pub53  31% (5 samples sent)
(11311|11371) pub53  43% (7 samples sent)
(11311|11371) pub53  50% (8 samples sent)
(11311|11371) pub53  62% (10 samples sent)
(11311|11371) pub53  75% (12 samples sent)
(11311|11371) pub53  81% (13 samples sent)
(11311|11371) pub53  93% (15 samples sent)
(11311|11371) pub53  100% (16 samples sent)
(11311|11371) pub53->wait_match() before write for 01030000.769f1dc5.0000003c.00000102(61f2d88e)
(11311|11371) pub53<-match found! before write for 01030000.769f1dc5.0000003c.00000102(61f2d88e)
(11311|11371) pub53  waiting for acks
(11311|11371) pub53  waiting for acks returned
(11311|11371) pub53<-delete_contained_entities
(11311|11370) pub54  writer id: 01030000.769f1dc5.0000001b.00000102(12132b9a)
(11311|11370) pub54->started
(11311|11370) pub54  12% (2 samples sent)
(11311|11370) pub54  25% (4 samples sent)
(11311|11370) pub54  31% (5 samples sent)
(11311|11370) pub54  43% (7 samples sent)
(11311|11370) pub54  50% (8 samples sent)
(11311|11370) pub54  62% (10 samples sent)
(11311|11370) pub54  75% (12 samples sent)
(11311|11370) pub54  81% (13 samples sent)
(11311|11370) pub54  93% (15 samples sent)
(11311|11370) pub54  100% (16 samples sent)
(11311|11370) pub54->wait_match() before write for 01030000.769f1dc5.0000001b.00000102(12132b9a)
(11311|11370) pub54<-match found! before write for 01030000.769f1dc5.0000001b.00000102(12132b9a)
(11311|11370) pub54  waiting for acks
(11311|11370) pub54  waiting for acks returned
(11311|11370) pub54<-delete_contained_entities
(11311|11376) pub48  writer id: 01030000.769f1dc5.00000020.00000102(c4e2a20d)
(11311|11376) pub48->started
(11311|11376) pub48  12% (2 samples sent)
(11311|11376) pub48  25% (4 samples sent)
(11311|11376) pub48  31% (5 samples sent)
(11311|11376) pub48  43% (7 samples sent)
(11311|11376) pub48  50% (8 samples sent)
(11311|11376) pub48  62% (10 samples sent)
(11311|11376) pub48  75% (12 samples sent)
(11311|11376) pub48  81% (13 samples sent)
(11311|11376) pub48  93% (15 samples sent)
(11311|11376) pub48  100% (16 samples sent)
(11311|11376) pub48->wait_match() before write for 01030000.769f1dc5.00000020.00000102(c4e2a20d)
(11311|11376) pub48<-match found! before write for 01030000.769f1dc5.00000020.00000102(c4e2a20d)
(11311|11376) pub48  waiting for acks
(11311|11376) pub48  waiting for acks returned
(11311|11376) pub48<-delete_contained_entities
(11311|11335) pub15  writer id: 01030000.769f1dc5.0000001a.00000102(2f73022a)
(11311|11335) pub15->started
(11311|11335) pub15  12% (2 samples sent)
(11311|11335) pub15  25% (4 samples sent)
(11311|11335) pub15  31% (5 samples sent)
(11311|11335) pub15  43% (7 samples sent)
(11311|11335) pub15  50% (8 samples sent)
(11311|11335) pub15  62% (10 samples sent)
(11311|11335) pub15  75% (12 samples sent)
(11311|11335) pub15  81% (13 samples sent)
(11311|11335) pub15  93% (15 samples sent)
(11311|11335) pub15  100% (16 samples sent)
(11311|11335) pub15->wait_match() before write for 01030000.769f1dc5.0000001a.00000102(2f73022a)
(11311|11335) pub15<-match found! before write for 01030000.769f1dc5.0000001a.00000102(2f73022a)
(11311|11335) pub15  waiting for acks
(11311|11335) pub15  waiting for acks returned
(11311|11335) pub15<-delete_contained_entities
(11311|11332) pub13  writer id: 01030000.769f1dc5.00000017.00000102(d7e3c69b)
(11311|11332) pub13->started
(11311|11332) pub13  12% (2 samples sent)
(11311|11332) pub13  25% (4 samples sent)
(11311|11332) pub13  31% (5 samples sent)
(11311|11332) pub13  43% (7 samples sent)
(11311|11332) pub13  50% (8 samples sent)
(11311|11332) pub13  62% (10 samples sent)
(11311|11332) pub13  75% (12 samples sent)
(11311|11332) pub13  81% (13 samples sent)
(11311|11332) pub13  93% (15 samples sent)
(11311|11332) pub13  100% (16 samples sent)
(11311|11332) pub13->wait_match() before write for 01030000.769f1dc5.00000017.00000102(d7e3c69b)
(11311|11332) pub13<-match found! before write for 01030000.769f1dc5.00000017.00000102(d7e3c69b)
(11311|11332) pub13  waiting for acks
(11311|11332) pub13  waiting for acks returned
(11311|11332) pub13<-delete_contained_entities
(11311|11329) pub8  writer id: 01030000.769f1dc5.00000005.00000102(cdc30279)
(11311|11329) pub8->started
(11311|11329) pub8  12% (2 samples sent)
(11311|11329) pub8  25% (4 samples sent)
(11311|11329) pub8  31% (5 samples sent)
(11311|11329) pub8  43% (7 samples sent)
(11311|11329) pub8  50% (8 samples sent)
(11311|11329) pub8  62% (10 samples sent)
(11311|11329) pub8  75% (12 samples sent)
(11311|11329) pub8  81% (13 samples sent)
(11311|11329) pub8  93% (15 samples sent)
(11311|11329) pub8  100% (16 samples sent)
(11311|11329) pub8->wait_match() before write for 01030000.769f1dc5.00000005.00000102(cdc30279)
(11311|11329) pub8<-match found! before write for 01030000.769f1dc5.00000005.00000102(cdc30279)
(11311|11329) pub8  waiting for acks
(11311|11329) pub8  waiting for acks returned
(11311|11329) pub8<-delete_contained_entities
(11311|11348) pub38  writer id: 01030000.769f1dc5.00000033.00000102(e3a24f5f)
(11311|11348) pub38->started
(11311|11348) pub38  12% (2 samples sent)
(11311|11348) pub38  25% (4 samples sent)
(11311|11348) pub38  31% (5 samples sent)
(11311|11348) pub38  43% (7 samples sent)
(11311|11348) pub38  50% (8 samples sent)
(11311|11348) pub38  62% (10 samples sent)
(11311|11348) pub38  75% (12 samples sent)
(11311|11348) pub38  81% (13 samples sent)
(11311|11348) pub38  93% (15 samples sent)
(11311|11348) pub38  100% (16 samples sent)
(11311|11348) pub38->wait_match() before write for 01030000.769f1dc5.00000033.00000102(e3a24f5f)
(11311|11348) pub38<-match found! before write for 01030000.769f1dc5.00000033.00000102(e3a24f5f)
(11311|11348) pub38  waiting for acks
(11311|11348) pub38  waiting for acks returned
(11311|11348) pub38<-delete_contained_entities
(11311|11352) pub27  writer id: 01030000.769f1dc5.0000002c.00000102(01124f0c)
(11311|11352) pub27->started
(11311|11352) pub27  12% (2 samples sent)
(11311|11352) pub27  25% (4 samples sent)
(11311|11352) pub27  31% (5 samples sent)
(11311|11352) pub27  43% (7 samples sent)
(11311|11352) pub27  50% (8 samples sent)
(11311|11352) pub27  62% (10 samples sent)
(11311|11352) pub27  75% (12 samples sent)
(11311|11352) pub27  81% (13 samples sent)
(11311|11352) pub27  93% (15 samples sent)
(11311|11352) pub27  100% (16 samples sent)
(11311|11352) pub27->wait_match() before write for 01030000.769f1dc5.0000002c.00000102(01124f0c)
(11311|11352) pub27<-match found! before write for 01030000.769f1dc5.0000002c.00000102(01124f0c)
(11311|11352) pub27  waiting for acks
(11311|11352) pub27  waiting for acks returned
(11311|11352) pub27<-delete_contained_entities
(11311|11324) pub9  writer id: 01030000.769f1dc5.0000000b.00000102(72f3bc18)
(11311|11324) pub9->started
(11311|11324) pub9  12% (2 samples sent)
(11311|11324) pub9  25% (4 samples sent)
(11311|11324) pub9  31% (5 samples sent)
(11311|11324) pub9  43% (7 samples sent)
(11311|11324) pub9  50% (8 samples sent)
(11311|11324) pub9  62% (10 samples sent)
(11311|11324) pub9  75% (12 samples sent)
(11311|11324) pub9  81% (13 samples sent)
(11311|11324) pub9  93% (15 samples sent)
(11311|11324) pub9  100% (16 samples sent)
(11311|11324) pub9->wait_match() before write for 01030000.769f1dc5.0000000b.00000102(72f3bc18)
(11311|11324) pub9<-match found! before write for 01030000.769f1dc5.0000000b.00000102(72f3bc18)
(11311|11324) pub9  waiting for acks
(11311|11324) pub9  waiting for acks returned
(11311|11324) pub9<-delete_contained_entities
(11311|11351) pub35  writer id: 01030000.769f1dc5.00000011.00000102(58a3333b)
(11311|11351) pub35->started
(11311|11351) pub35  12% (2 samples sent)
(11311|11351) pub35  25% (4 samples sent)
(11311|11351) pub35  31% (5 samples sent)
(11311|11351) pub35  43% (7 samples sent)
(11311|11351) pub35  50% (8 samples sent)
(11311|11351) pub35  62% (10 samples sent)
(11311|11351) pub35  75% (12 samples sent)
(11311|11351) pub35  81% (13 samples sent)
(11311|11351) pub35  93% (15 samples sent)
(11311|11351) pub35  100% (16 samples sent)
(11311|11351) pub35->wait_match() before write for 01030000.769f1dc5.00000011.00000102(58a3333b)
(11311|11351) pub35<-match found! before write for 01030000.769f1dc5.00000011.00000102(58a3333b)
(11311|11351) pub35  waiting for acks
(11311|11351) pub35  waiting for acks returned
(11311|11351) pub35<-delete_contained_entities
(11311|11338) pub18  writer id: 01030000.769f1dc5.00000023.00000102(8342d8dd)
(11311|11338) pub18->started
(11311|11338) pub18  12% (2 samples sent)
(11311|11338) pub18  25% (4 samples sent)
(11311|11338) pub18  31% (5 samples sent)
(11311|11338) pub18  43% (7 samples sent)
(11311|11338) pub18  50% (8 samples sent)
(11311|11338) pub18  62% (10 samples sent)
(11311|11338) pub18  75% (12 samples sent)
(11311|11338) pub18  81% (13 samples sent)
(11311|11338) pub18  93% (15 samples sent)
(11311|11338) pub18  100% (16 samples sent)
(11311|11338) pub18->wait_match() before write for 01030000.769f1dc5.00000023.00000102(8342d8dd)
(11311|11338) pub18<-match found! before write for 01030000.769f1dc5.00000023.00000102(8342d8dd)
(11311|11338) pub18  waiting for acks
(11311|11338) pub18  waiting for acks returned
(11311|11338) pub18<-delete_contained_entities
(11311|11328) pub7  writer id: 01030000.769f1dc5.00000010.00000102(65c31a8b)
(11311|11328) pub7->started
(11311|11328) pub7  12% (2 samples sent)
(11311|11328) pub7  25% (4 samples sent)
(11311|11328) pub7  31% (5 samples sent)
(11311|11328) pub7  43% (7 samples sent)
(11311|11328) pub7  50% (8 samples sent)
(11311|11328) pub7  62% (10 samples sent)
(11311|11328) pub7  75% (12 samples sent)
(11311|11328) pub7  81% (13 samples sent)
(11311|11328) pub7  93% (15 samples sent)
(11311|11328) pub7  100% (16 samples sent)
(11311|11328) pub7->wait_match() before write for 01030000.769f1dc5.00000010.00000102(65c31a8b)
(11311|11328) pub7<-match found! before write for 01030000.769f1dc5.00000010.00000102(65c31a8b)
(11311|11328) pub7  waiting for acks
(11311|11328) pub7  waiting for acks returned
(11311|11328) pub7<-delete_contained_entities
(11311|11383) pub61  writer id: 01030000.769f1dc5.00000042.00000102(27108620)
(11311|11383) pub61->started
(11311|11383) pub61  12% (2 samples sent)
(11311|11383) pub61  25% (4 samples sent)
(11311|11383) pub61  31% (5 samples sent)
(11311|11383) pub61  43% (7 samples sent)
(11311|11383) pub61  50% (8 samples sent)
(11311|11383) pub61  62% (10 samples sent)
(11311|11383) pub61  75% (12 samples sent)
(11311|11383) pub61  81% (13 samples sent)
(11311|11383) pub61  93% (15 samples sent)
(11311|11383) pub61  100% (16 samples sent)
(11311|11383) pub61->wait_match() before write for 01030000.769f1dc5.00000042.00000102(27108620)
(11311|11383) pub61<-match found! before write for 01030000.769f1dc5.00000042.00000102(27108620)
(11311|11383) pub61  waiting for acks
(11311|11383) pub61  waiting for acks returned
(11311|11383) pub61<-delete_contained_entities
(11311|11363) pub43  writer id: 01030000.769f1dc5.00000037.00000102(1622e99f)
(11311|11363) pub43->started
(11311|11363) pub43  12% (2 samples sent)
(11311|11363) pub43  25% (4 samples sent)
(11311|11363) pub43  31% (5 samples sent)
(11311|11363) pub43  43% (7 samples sent)
(11311|11363) pub43  50% (8 samples sent)
(11311|11363) pub43  62% (10 samples sent)
(11311|11363) pub43  75% (12 samples sent)
(11311|11363) pub43  81% (13 samples sent)
(11311|11363) pub43  93% (15 samples sent)
(11311|11363) pub43  100% (16 samples sent)
(11311|11363) pub43->wait_match() before write for 01030000.769f1dc5.00000037.00000102(1622e99f)
(11311|11363) pub43<-match found! before write for 01030000.769f1dc5.00000037.00000102(1622e99f)
(11311|11363) pub43  waiting for acks
(11311|11319)  sub 10% (103 samples received)
(11311|11363) pub43  waiting for acks returned
(11311|11363) pub43<-delete_contained_entities
(11311|11322) pub11  writer id: 01030000.769f1dc5.0000000c.00000102(c0d36008)
(11311|11322) pub11->started
(11311|11322) pub11  12% (2 samples sent)
(11311|11322) pub11  25% (4 samples sent)
(11311|11322) pub11  31% (5 samples sent)
(11311|11322) pub11  43% (7 samples sent)
(11311|11322) pub11  50% (8 samples sent)
(11311|11322) pub11  62% (10 samples sent)
(11311|11322) pub11  75% (12 samples sent)
(11311|11322) pub11  81% (13 samples sent)
(11311|11322) pub11  93% (15 samples sent)
(11311|11322) pub11  100% (16 samples sent)
(11311|11322) pub11->wait_match() before write for 01030000.769f1dc5.0000000c.00000102(c0d36008)
(11311|11322) pub11<-match found! before write for 01030000.769f1dc5.0000000c.00000102(c0d36008)
(11311|11322) pub11  waiting for acks
(11311|11322) pub11  waiting for acks returned
(11311|11322) pub11<-delete_contained_entities
(11311|11355) pub30  writer id: 01030000.769f1dc5.0000002e.00000102(7bd21c6c)
(11311|11355) pub30->started
(11311|11355) pub30  12% (2 samples sent)
(11311|11355) pub30  25% (4 samples sent)
(11311|11355) pub30  31% (5 samples sent)
(11311|11355) pub30  43% (7 samples sent)
(11311|11355) pub30  50% (8 samples sent)
(11311|11355) pub30  62% (10 samples sent)
(11311|11355) pub30  75% (12 samples sent)
(11311|11355) pub30  81% (13 samples sent)
(11311|11355) pub30  93% (15 samples sent)
(11311|11355) pub30  100% (16 samples sent)
(11311|11355) pub30->wait_match() before write for 01030000.769f1dc5.0000002e.00000102(7bd21c6c)
(11311|11355) pub30<-match found! before write for 01030000.769f1dc5.0000002e.00000102(7bd21c6c)
(11311|11355) pub30  waiting for acks
(11311|11355) pub30  waiting for acks returned
(11311|11355) pub30<-delete_contained_entities
(11311|11337) pub17  writer id: 01030000.769f1dc5.00000021.00000102(f9828bbd)
(11311|11337) pub17->started
(11311|11337) pub17  12% (2 samples sent)
(11311|11337) pub17  25% (4 samples sent)
(11311|11337) pub17  31% (5 samples sent)
(11311|11337) pub17  43% (7 samples sent)
(11311|11337) pub17  50% (8 samples sent)
(11311|11337) pub17  62% (10 samples sent)
(11311|11337) pub17  75% (12 samples sent)
(11311|11337) pub17  81% (13 samples sent)
(11311|11337) pub17  93% (15 samples sent)
(11311|11337) pub17  100% (16 samples sent)
(11311|11337) pub17->wait_match() before write for 01030000.769f1dc5.00000021.00000102(f9828bbd)
(11311|11337) pub17<-match found! before write for 01030000.769f1dc5.00000021.00000102(f9828bbd)
(11311|11337) pub17  waiting for acks
(11311|11337) pub17  waiting for acks returned
(11311|11337) pub17<-delete_contained_entities
(11311|11375) pub52  writer id: 01030000.769f1dc5.0000003b.00000102(d3d2049e)
(11311|11375) pub52->started
(11311|11375) pub52  12% (2 samples sent)
(11311|11375) pub52  25% (4 samples sent)
(11311|11375) pub52  31% (5 samples sent)
(11311|11375) pub52  43% (7 samples sent)
(11311|11375) pub52  50% (8 samples sent)
(11311|11375) pub52  62% (10 samples sent)
(11311|11375) pub52  75% (12 samples sent)
(11311|11375) pub52  81% (13 samples sent)
(11311|11375) pub52  93% (15 samples sent)
(11311|11375) pub52  100% (16 samples sent)
(11311|11375) pub52->wait_match() before write for 01030000.769f1dc5.0000003b.00000102(d3d2049e)
(11311|11375) pub52<-match found! before write for 01030000.769f1dc5.0000003b.00000102(d3d2049e)
(11311|11375) pub52  waiting for acks
(11311|11375) pub52  waiting for acks returned
(11311|11375) pub52<-delete_contained_entities
(11311|11347) pub29  writer id: 01030000.769f1dc5.0000002d.00000102(3c7266bc)
(11311|11347) pub29->started
(11311|11347) pub29  12% (2 samples sent)
(11311|11347) pub29  25% (4 samples sent)
(11311|11347) pub29  31% (5 samples sent)
(11311|11347) pub29  43% (7 samples sent)
(11311|11347) pub29  50% (8 samples sent)
(11311|11347) pub29  62% (10 samples sent)
(11311|11347) pub29  75% (12 samples sent)
(11311|11347) pub29  81% (13 samples sent)
(11311|11347) pub29  93% (15 samples sent)
(11311|11347) pub29  100% (16 samples sent)
(11311|11347) pub29->wait_match() before write for 01030000.769f1dc5.0000002d.00000102(3c7266bc)
(11311|11347) pub29<-match found! before write for 01030000.769f1dc5.0000002d.00000102(3c7266bc)
(11311|11347) pub29  waiting for acks
(11311|11347) pub29  waiting for acks returned
(11311|11347) pub29<-delete_contained_entities
(11311|11341) pub21  writer id: 01030000.769f1dc5.00000027.00000102(76c27e1d)
(11311|11341) pub21->started
(11311|11341) pub21  12% (2 samples sent)
(11311|11341) pub21  25% (4 samples sent)
(11311|11341) pub21  31% (5 samples sent)
(11311|11341) pub21  43% (7 samples sent)
(11311|11341) pub21  50% (8 samples sent)
(11311|11341) pub21  62% (10 samples sent)
(11311|11341) pub21  75% (12 samples sent)
(11311|11341) pub21  81% (13 samples sent)
(11311|11341) pub21  93% (15 samples sent)
(11311|11341) pub21  100% (16 samples sent)
(11311|11341) pub21->wait_match() before write for 01030000.769f1dc5.00000027.00000102(76c27e1d)
(11311|11341) pub21<-match found! before write for 01030000.769f1dc5.00000027.00000102(76c27e1d)
(11311|11341) pub21  waiting for acks
(11311|11341) pub21  waiting for acks returned
(11311|11341) pub21<-delete_contained_entities
(11311|11381) pub63  writer id: 01030000.769f1dc5.00000041.00000102(60b0fcf0)
(11311|11381) pub63->started
(11311|11381) pub63  12% (2 samples sent)
(11311|11381) pub63  25% (4 samples sent)
(11311|11381) pub63  31% (5 samples sent)
(11311|11381) pub63  43% (7 samples sent)
(11311|11381) pub63  50% (8 samples sent)
(11311|11381) pub63  62% (10 samples sent)
(11311|11381) pub63  75% (12 samples sent)
(11311|11381) pub63  81% (13 samples sent)
(11311|11381) pub63  93% (15 samples sent)
(11311|11381) pub63  100% (16 samples sent)
(11311|11381) pub63->wait_match() before write for 01030000.769f1dc5.00000041.00000102(60b0fcf0)
(11311|11381) pub63<-match found! before write for 01030000.769f1dc5.00000041.00000102(60b0fcf0)
(11311|11381) pub63  waiting for acks
(11311|11381) pub63  waiting for acks returned
(11311|11381) pub63<-delete_contained_entities
(11311|11380) pub60  writer id: 01030000.769f1dc5.00000040.00000102(5dd0d540)
(11311|11380) pub60->started
(11311|11380) pub60  12% (2 samples sent)
(11311|11380) pub60  25% (4 samples sent)
(11311|11380) pub60  31% (5 samples sent)
(11311|11380) pub60  43% (7 samples sent)
(11311|11380) pub60  50% (8 samples sent)
(11311|11380) pub60  62% (10 samples sent)
(11311|11380) pub60  75% (12 samples sent)
(11311|11380) pub60  81% (13 samples sent)
(11311|11380) pub60  93% (15 samples sent)
(11311|11380) pub60  100% (16 samples sent)
(11311|11380) pub60->wait_match() before write for 01030000.769f1dc5.00000040.00000102(5dd0d540)
(11311|11380) pub60<-match found! before write for 01030000.769f1dc5.00000040.00000102(5dd0d540)
(11311|11380) pub60  waiting for acks
(11311|11380) pub60  waiting for acks returned
(11311|11380) pub60<-delete_contained_entities
(11311|11379) pub55  writer id: 01030000.769f1dc5.00000026.00000102(4ba257ad)
(11311|11379) pub55->started
(11311|11379) pub55  12% (2 samples sent)
(11311|11379) pub55  25% (4 samples sent)
(11311|11379) pub55  31% (5 samples sent)
(11311|11379) pub55  43% (7 samples sent)
(11311|11379) pub55  50% (8 samples sent)
(11311|11379) pub55  62% (10 samples sent)
(11311|11379) pub55  75% (12 samples sent)
(11311|11379) pub55  81% (13 samples sent)
(11311|11379) pub55  93% (15 samples sent)
(11311|11379) pub55  100% (16 samples sent)
(11311|11379) pub55->wait_match() before write for 01030000.769f1dc5.00000026.00000102(4ba257ad)
(11311|11379) pub55<-match found! before write for 01030000.769f1dc5.00000026.00000102(4ba257ad)
(11311|11379) pub55  waiting for acks
(11311|11379) pub55  waiting for acks returned
(11311|11379) pub55<-delete_contained_entities
(11311|11382) pub59  writer id: 01030000.769f1dc5.0000003f.00000102(2652a25e)
(11311|11382) pub59->started
(11311|11382) pub59  12% (2 samples sent)
(11311|11382) pub59  25% (4 samples sent)
(11311|11382) pub59  31% (5 samples sent)
(11311|11382) pub59  43% (7 samples sent)
(11311|11382) pub59  50% (8 samples sent)
(11311|11382) pub59  62% (10 samples sent)
(11311|11382) pub59  75% (12 samples sent)
(11311|11382) pub59  81% (13 samples sent)
(11311|11382) pub59  93% (15 samples sent)
(11311|11382) pub59  100% (16 samples sent)
(11311|11382) pub59->wait_match() before write for 01030000.769f1dc5.0000003f.00000102(2652a25e)
(11311|11382) pub59<-match found! before write for 01030000.769f1dc5.0000003f.00000102(2652a25e)
(11311|11382) pub59  waiting for acks
(11311|11382) pub59  waiting for acks returned
(11311|11382) pub59<-delete_contained_entities
(11311|11349) pub25  writer id: 01030000.769f1dc5.0000002a.00000102(8e52baac)
(11311|11349) pub25->started
(11311|11349) pub25  12% (2 samples sent)
(11311|11349) pub25  25% (4 samples sent)
(11311|11349) pub25  31% (5 samples sent)
(11311|11349) pub25  43% (7 samples sent)
(11311|11349) pub25  50% (8 samples sent)
(11311|11349) pub25  62% (10 samples sent)
(11311|11349) pub25  75% (12 samples sent)
(11311|11349) pub25  81% (13 samples sent)
(11311|11349) pub25  93% (15 samples sent)
(11311|11349) pub25  100% (16 samples sent)
(11311|11349) pub25->wait_match() before write for 01030000.769f1dc5.0000002a.00000102(8e52baac)
(11311|11349) pub25<-match found! before write for 01030000.769f1dc5.0000002a.00000102(8e52baac)
(11311|11349) pub25  waiting for acks
(11311|11349) pub25  waiting for acks returned
(11311|11349) pub25<-delete_contained_entities
(11311|11360) pub40  writer id: 01030000.769f1dc5.00000035.00000102(6ce2baff)
(11311|11360) pub40->started
(11311|11360) pub40  12% (2 samples sent)
(11311|11360) pub40  25% (4 samples sent)
(11311|11360) pub40  31% (5 samples sent)
(11311|11360) pub40  43% (7 samples sent)
(11311|11360) pub40  50% (8 samples sent)
(11311|11360) pub40  62% (10 samples sent)
(11311|11360) pub40  75% (12 samples sent)
(11311|11360) pub40  81% (13 samples sent)
(11311|11360) pub40  93% (15 samples sent)
(11311|11360) pub40  100% (16 samples sent)
(11311|11360) pub40->wait_match() before write for 01030000.769f1dc5.00000035.00000102(6ce2baff)
(11311|11360) pub40<-match found! before write for 01030000.769f1dc5.00000035.00000102(6ce2baff)
(11311|11360) pub40  waiting for acks
(11311|11360) pub40  waiting for acks returned
(11311|11360) pub40<-delete_contained_entities
(11311|11334) pub14  writer id: 01030000.769f1dc5.00000015.00000102(ad2395fb)
(11311|11334) pub14->started
(11311|11334) pub14  12% (2 samples sent)
(11311|11334) pub14  25% (4 samples sent)
(11311|11334) pub14  31% (5 samples sent)
(11311|11334) pub14  43% (7 samples sent)
(11311|11334) pub14  50% (8 samples sent)
(11311|11334) pub14  62% (10 samples sent)
(11311|11334) pub14  75% (12 samples sent)
(11311|11334) pub14  81% (13 samples sent)
(11311|11334) pub14  93% (15 samples sent)
(11311|11334) pub14  100% (16 samples sent)
(11311|11334) pub14->wait_match() before write for 01030000.769f1dc5.00000015.00000102(ad2395fb)
(11311|11334) pub14<-match found! before write for 01030000.769f1dc5.00000015.00000102(ad2395fb)
(11311|11334) pub14  waiting for acks
(11311|11334) pub14  waiting for acks returned
(11311|11334) pub14<-delete_contained_entities
(11311|11350) pub26  writer id: 01030000.769f1dc5.0000002b.00000102(b332931c)
(11311|11350) pub26->started
(11311|11350) pub26  12% (2 samples sent)
(11311|11350) pub26  25% (4 samples sent)
(11311|11350) pub26  31% (5 samples sent)
(11311|11350) pub26  43% (7 samples sent)
(11311|11350) pub26  50% (8 samples sent)
(11311|11350) pub26  62% (10 samples sent)
(11311|11350) pub26  75% (12 samples sent)
(11311|11350) pub26  81% (13 samples sent)
(11311|11350) pub26  93% (15 samples sent)
(11311|11350) pub26  100% (16 samples sent)
(11311|11350) pub26->wait_match() before write for 01030000.769f1dc5.0000002b.00000102(b332931c)
(11311|11350) pub26<-match found! before write for 01030000.769f1dc5.0000002b.00000102(b332931c)
(11311|11350) pub26  waiting for acks
(11311|11350) pub26  waiting for acks returned
(11311|11350) pub26<-delete_contained_entities
(11311|11354) pub37  writer id: 01030000.769f1dc5.0000001c.00000102(a033f78a)
(11311|11354) pub37->started
(11311|11354) pub37  12% (2 samples sent)
(11311|11354) pub37  25% (4 samples sent)
(11311|11354) pub37  31% (5 samples sent)
(11311|11354) pub37  43% (7 samples sent)
(11311|11354) pub37  50% (8 samples sent)
(11311|11354) pub37  62% (10 samples sent)
(11311|11354) pub37  75% (12 samples sent)
(11311|11354) pub37  81% (13 samples sent)
(11311|11354) pub37  93% (15 samples sent)
(11311|11354) pub37  100% (16 samples sent)
(11311|11354) pub37->wait_match() before write for 01030000.769f1dc5.0000001c.00000102(a033f78a)
(11311|11354) pub37<-match found! before write for 01030000.769f1dc5.0000001c.00000102(a033f78a)
(11311|11354) pub37  waiting for acks
(11311|11373) pub51  writer id: 01030000.769f1dc5.00000024.00000102(316204cd)
(11311|11373) pub51->started
(11311|11354) pub37  waiting for acks returned
(11311|11354) pub37<-delete_contained_entities
(11311|11373) pub51  12% (2 samples sent)
(11311|11373) pub51  25% (4 samples sent)
(11311|11373) pub51  31% (5 samples sent)
(11311|11373) pub51  43% (7 samples sent)
(11311|11373) pub51  50% (8 samples sent)
(11311|11373) pub51  62% (10 samples sent)
(11311|11373) pub51  75% (12 samples sent)
(11311|11373) pub51  81% (13 samples sent)
(11311|11373) pub51  93% (15 samples sent)
(11311|11373) pub51  100% (16 samples sent)
(11311|11373) pub51->wait_match() before write for 01030000.769f1dc5.00000024.00000102(316204cd)
(11311|11373) pub51<-match found! before write for 01030000.769f1dc5.00000024.00000102(316204cd)
(11311|11373) pub51  waiting for acks
(11311|11373) pub51  waiting for acks returned
(11311|11373) pub51<-delete_contained_entities
(11311|11366) pub47  writer id: 01030000.769f1dc5.00000014.00000102(9043bc4b)
(11311|11366) pub47->started
(11311|11366) pub47  12% (2 samples sent)
(11311|11366) pub47  25% (4 samples sent)
(11311|11366) pub47  31% (5 samples sent)
(11311|11366) pub47  43% (7 samples sent)
(11311|11366) pub47  50% (8 samples sent)
(11311|11366) pub47  62% (10 samples sent)
(11311|11366) pub47  75% (12 samples sent)
(11311|11366) pub47  81% (13 samples sent)
(11311|11366) pub47  93% (15 samples sent)
(11311|11366) pub47  100% (16 samples sent)
(11311|11366) pub47->wait_match() before write for 01030000.769f1dc5.00000014.00000102(9043bc4b)
(11311|11366) pub47<-match found! before write for 01030000.769f1dc5.00000014.00000102(9043bc4b)
(11311|11366) pub47  waiting for acks
(11311|11366) pub47  waiting for acks returned
(11311|11366) pub47<-delete_contained_entities
(11311|11353) pub31  writer id: 01030000.769f1dc5.0000002f.00000102(46b235dc)
(11311|11353) pub31->started
(11311|11353) pub31  12% (2 samples sent)
(11311|11353) pub31  25% (4 samples sent)
(11311|11353) pub31  31% (5 samples sent)
(11311|11353) pub31  43% (7 samples sent)
(11311|11353) pub31  50% (8 samples sent)
(11311|11353) pub31  62% (10 samples sent)
(11311|11353) pub31  75% (12 samples sent)
(11311|11353) pub31  81% (13 samples sent)
(11311|11353) pub31  93% (15 samples sent)
(11311|11353) pub31  100% (16 samples sent)
(11311|11353) pub31->wait_match() before write for 01030000.769f1dc5.0000002f.00000102(46b235dc)
(11311|11353) pub31<-match found! before write for 01030000.769f1dc5.0000002f.00000102(46b235dc)
(11311|11353) pub31  waiting for acks
(11311|11353) pub31  waiting for acks returned
(11311|11353) pub31<-delete_contained_entities
(11311|11364) pub44  writer id: 01030000.769f1dc5.00000039.00000102(a91257fe)
(11311|11364) pub44->started
(11311|11364) pub44  12% (2 samples sent)
(11311|11364) pub44  25% (4 samples sent)
(11311|11364) pub44  31% (5 samples sent)
(11311|11364) pub44  43% (7 samples sent)
(11311|11364) pub44  50% (8 samples sent)
(11311|11364) pub44  62% (10 samples sent)
(11311|11364) pub44  75% (12 samples sent)
(11311|11364) pub44  81% (13 samples sent)
(11311|11364) pub44  93% (15 samples sent)
(11311|11364) pub44  100% (16 samples sent)
(11311|11364) pub44->wait_match() before write for 01030000.769f1dc5.00000039.00000102(a91257fe)
(11311|11364) pub44<-match found! before write for 01030000.769f1dc5.00000039.00000102(a91257fe)
(11311|11364) pub44  waiting for acks
(11311|11364) pub44  waiting for acks returned
(11311|11364) pub44<-delete_contained_entities
(11311|11356) pub33  writer id: 01030000.769f1dc5.00000031.00000102(99621c3f)
(11311|11356) pub33->started
(11311|11356) pub33  12% (2 samples sent)
(11311|11356) pub33  25% (4 samples sent)
(11311|11356) pub33  31% (5 samples sent)
(11311|11356) pub33  43% (7 samples sent)
(11311|11356) pub33  50% (8 samples sent)
(11311|11356) pub33  62% (10 samples sent)
(11311|11356) pub33  75% (12 samples sent)
(11311|11356) pub33  81% (13 samples sent)
(11311|11356) pub33  93% (15 samples sent)
(11311|11356) pub33  100% (16 samples sent)
(11311|11356) pub33->wait_match() before write for 01030000.769f1dc5.00000031.00000102(99621c3f)
(11311|11356) pub33<-match found! before write for 01030000.769f1dc5.00000031.00000102(99621c3f)
(11311|11356) pub33  waiting for acks
(11311|11356) pub33  waiting for acks returned
(11311|11356) pub33<-delete_contained_entities
(11311|11377) pub57  writer id: 01030000.769f1dc5.0000003e.00000102(1b328bee)
(11311|11377) pub57->started
(11311|11377) pub57  12% (2 samples sent)
(11311|11377) pub57  25% (4 samples sent)
(11311|11377) pub57  31% (5 samples sent)
(11311|11377) pub57  43% (7 samples sent)
(11311|11377) pub57  50% (8 samples sent)
(11311|11377) pub57  62% (10 samples sent)
(11311|11377) pub57  75% (12 samples sent)
(11311|11377) pub57  81% (13 samples sent)
(11311|11377) pub57  93% (15 samples sent)
(11311|11377) pub57  100% (16 samples sent)
(11311|11377) pub57->wait_match() before write for 01030000.769f1dc5.0000003e.00000102(1b328bee)
(11311|11377) pub57<-match found! before write for 01030000.769f1dc5.0000003e.00000102(1b328bee)
(11311|11377) pub57  waiting for acks
(11311|11377) pub57  waiting for acks returned
(11311|11377) pub57<-delete_contained_entities
(11311|11344) pub24  writer id: 01030000.769f1dc5.00000029.00000102(c9f2c07c)
(11311|11344) pub24->started
(11311|11344) pub24  12% (2 samples sent)
(11311|11344) pub24  25% (4 samples sent)
(11311|11344) pub24  31% (5 samples sent)
(11311|11344) pub24  43% (7 samples sent)
(11311|11344) pub24  50% (8 samples sent)
(11311|11344) pub24  62% (10 samples sent)
(11311|11344) pub24  75% (12 samples sent)
(11311|11344) pub24  81% (13 samples sent)
(11311|11344) pub24  93% (15 samples sent)
(11311|11344) pub24  100% (16 samples sent)
(11311|11344) pub24->wait_match() before write for 01030000.769f1dc5.00000029.00000102(c9f2c07c)
(11311|11344) pub24<-match found! before write for 01030000.769f1dc5.00000029.00000102(c9f2c07c)
(11311|11344) pub24  waiting for acks
(11311|11344) pub24  waiting for acks returned
(11311|11344) pub24<-delete_contained_entities
(11311|11367) pub50  writer id: 01030000.769f1dc5.00000022.00000102(be22f16d)
(11311|11367) pub50->started
(11311|11367) pub50  12% (2 samples sent)
(11311|11367) pub50  25% (4 samples sent)
(11311|11367) pub50  31% (5 samples sent)
(11311|11367) pub50  43% (7 samples sent)
(11311|11367) pub50  50% (8 samples sent)
(11311|11367) pub50  62% (10 samples sent)
(11311|11367) pub50  75% (12 samples sent)
(11311|11367) pub50  81% (13 samples sent)
(11311|11367) pub50  93% (15 samples sent)
(11311|11367) pub50  100% (16 samples sent)
(11311|11367) pub50->wait_match() before write for 01030000.769f1dc5.00000022.00000102(be22f16d)
(11311|11367) pub50<-match found! before write for 01030000.769f1dc5.00000022.00000102(be22f16d)
(11311|11367) pub50  waiting for acks
(11311|11367) pub50  waiting for acks returned
(11311|11367) pub50<-delete_contained_entities
(11311|11340) pub20  writer id: 01030000.769f1dc5.00000025.00000102(0c022d7d)
(11311|11340) pub20->started
(11311|11340) pub20  12% (2 samples sent)
(11311|11340) pub20  25% (4 samples sent)
(11311|11340) pub20  31% (5 samples sent)
(11311|11340) pub20  43% (7 samples sent)
(11311|11340) pub20  50% (8 samples sent)
(11311|11340) pub20  62% (10 samples sent)
(11311|11340) pub20  75% (12 samples sent)
(11311|11340) pub20  81% (13 samples sent)
(11311|11340) pub20  93% (15 samples sent)
(11311|11340) pub20  100% (16 samples sent)
(11311|11340) pub20->wait_match() before write for 01030000.769f1dc5.00000025.00000102(0c022d7d)
(11311|11340) pub20<-match found! before write for 01030000.769f1dc5.00000025.00000102(0c022d7d)
(11311|11340) pub20  waiting for acks
(11311|11340) pub20  waiting for acks returned
(11311|11340) pub20<-delete_contained_entities
(11311|11378) pub62  writer id: 01030000.769f1dc5.00000028.00000102(f492e9cc)
(11311|11378) pub62->started
(11311|11378) pub62  12% (2 samples sent)
(11311|11378) pub62  25% (4 samples sent)
(11311|11378) pub62  31% (5 samples sent)
(11311|11378) pub62  43% (7 samples sent)
(11311|11378) pub62  50% (8 samples sent)
(11311|11378) pub62  62% (10 samples sent)
(11311|11378) pub62  75% (12 samples sent)
(11311|11378) pub62  81% (13 samples sent)
(11311|11378) pub62  93% (15 samples sent)
(11311|11378) pub62  100% (16 samples sent)
(11311|11378) pub62->wait_match() before write for 01030000.769f1dc5.00000028.00000102(f492e9cc)
(11311|11378) pub62<-match found! before write for 01030000.769f1dc5.00000028.00000102(f492e9cc)
(11311|11378) pub62  waiting for acks
(11311|11378) pub62  waiting for acks returned
(11311|11378) pub62<-delete_contained_entities
(11311|11372) pub49  writer id: 01030000.769f1dc5.00000018.00000102(55b3514a)
(11311|11372) pub49->started
(11311|11372) pub49  12% (2 samples sent)
(11311|11372) pub49  25% (4 samples sent)
(11311|11372) pub49  31% (5 samples sent)
(11311|11372) pub49  43% (7 samples sent)
(11311|11372) pub49  50% (8 samples sent)
(11311|11372) pub49  62% (10 samples sent)
(11311|11372) pub49  75% (12 samples sent)
(11311|11372) pub49  81% (13 samples sent)
(11311|11372) pub49  93% (15 samples sent)
(11311|11372) pub49  100% (16 samples sent)
(11311|11372) pub49->wait_match() before write for 01030000.769f1dc5.00000018.00000102(55b3514a)
(11311|11372) pub49<-match found! before write for 01030000.769f1dc5.00000018.00000102(55b3514a)
(11311|11372) pub49  waiting for acks
(11311|11372) pub49  waiting for acks returned
(11311|11372) pub49<-delete_contained_entities
(11311|11361) pub41  writer id: 01030000.769f1dc5.00000036.00000102(2b42c02f)
(11311|11361) pub41->started
(11311|11361) pub41  12% (2 samples sent)
(11311|11361) pub41  25% (4 samples sent)
(11311|11361) pub41  31% (5 samples sent)
(11311|11361) pub41  43% (7 samples sent)
(11311|11361) pub41  50% (8 samples sent)
(11311|11361) pub41  62% (10 samples sent)
(11311|11361) pub41  75% (12 samples sent)
(11311|11361) pub41  81% (13 samples sent)
(11311|11361) pub41  93% (15 samples sent)
(11311|11361) pub41  100% (16 samples sent)
(11311|11361) pub41->wait_match() before write for 01030000.769f1dc5.00000036.00000102(2b42c02f)
(11311|11361) pub41<-match found! before write for 01030000.769f1dc5.00000036.00000102(2b42c02f)
(11311|11361) pub41  waiting for acks
(11311|11361) pub41  waiting for acks returned
(11311|11361) pub41<-delete_contained_entities
(11311|11359) pub36  writer id: 01030000.769f1dc5.00000032.00000102(dec266ef)
(11311|11359) pub36->started
(11311|11359) pub36  12% (2 samples sent)
(11311|11359) pub36  25% (4 samples sent)
(11311|11359) pub36  31% (5 samples sent)
(11311|11359) pub36  43% (7 samples sent)
(11311|11359) pub36  50% (8 samples sent)
(11311|11359) pub36  62% (10 samples sent)
(11311|11359) pub36  75% (12 samples sent)
(11311|11359) pub36  81% (13 samples sent)
(11311|11359) pub36  93% (15 samples sent)
(11311|11359) pub36  100% (16 samples sent)
(11311|11359) pub36->wait_match() before write for 01030000.769f1dc5.00000032.00000102(dec266ef)
(11311|11359) pub36<-match found! before write for 01030000.769f1dc5.00000032.00000102(dec266ef)
(11311|11359) pub36  waiting for acks
(11311|11359) pub36  waiting for acks returned
(11311|11359) pub36<-delete_contained_entities
(11311|11323) pub6  writer id: 01030000.769f1dc5.00000006.00000102(8a6378a9)
(11311|11323) pub6->started
(11311|11323) pub6  12% (2 samples sent)
(11311|11323) pub6  25% (4 samples sent)
(11311|11323) pub6  31% (5 samples sent)
(11311|11323) pub6  43% (7 samples sent)
(11311|11323) pub6  50% (8 samples sent)
(11311|11323) pub6  62% (10 samples sent)
(11311|11323) pub6  75% (12 samples sent)
(11311|11323) pub6  81% (13 samples sent)
(11311|11323) pub6  93% (15 samples sent)
(11311|11323) pub6  100% (16 samples sent)
(11311|11323) pub6->wait_match() before write for 01030000.769f1dc5.00000006.00000102(8a6378a9)
(11311|11319) sub condition_.notify_all
(11311|11311) sub condition_.wait returned
(11311|11311) sub check_received
(11311|11311) sub check_received returns 0
(11311|11323) pub6<-match found! before write for 01030000.769f1dc5.00000006.00000102(8a6378a9)
(11311|11311) <- PublisherService::end
(11311|11323) pub6  waiting for acks
(11311|11323) pub6  waiting for acks returned
(11311|11323) pub6<-delete_contained_entities
(11311|11320) pub0<-delete_participant
(11311|11321) pub1<-delete_participant
(11311|11339) pub16<-delete_participant
(11311|11357) pub34<-delete_participant
(11311|11326) pub4<-delete_participant
(11311|11362) pub42<-delete_participant
(11311|11346) pub39<-delete_participant
(11311|11342) pub22<-delete_participant
(11311|11368) pub58<-delete_participant
(11311|11336) pub19<-delete_participant
(11311|11343) pub23<-delete_participant
(11311|11330) pub2<-delete_participant
(11311|11358) pub32<-delete_participant
(11311|11365) pub45<-delete_participant
(11311|11327) pub3<-delete_participant
(11311|11369) pub56<-delete_participant
(11311|11325) pub5<-delete_participant
(11311|11331) pub10<-delete_participant
(11311|11374) pub46<-delete_participant
(11311|11333) pub12<-delete_participant
(11311|11345) pub28<-delete_participant
(11311|11371) pub53<-delete_participant
(11311|11370) pub54<-delete_participant
(11311|11376) pub48<-delete_participant
(11311|11335) pub15<-delete_participant
(11311|11332) pub13<-delete_participant
(11311|11329) pub8<-delete_participant
(11311|11348) pub38<-delete_participant
(11311|11352) pub27<-delete_participant
(11311|11324) pub9<-delete_participant
(11311|11351) pub35<-delete_participant
(11311|11338) pub18<-delete_participant
(11311|11328) pub7<-delete_participant
(11311|11383) pub61<-delete_participant
(11311|11363) pub43<-delete_participant
(11311|11322) pub11<-delete_participant
(11311|11355) pub30<-delete_participant
(11311|11337) pub17<-delete_participant
(11311|11375) pub52<-delete_participant
(11311|11347) pub29<-delete_participant
(11311|11341) pub21<-delete_participant
(11311|11381) pub63<-delete_participant
(11311|11380) pub60<-delete_participant
(11311|11379) pub55<-delete_participant
(11311|11382) pub59<-delete_participant
(11311|11349) pub25<-delete_participant
(11311|11360) pub40<-delete_participant
(11311|11334) pub14<-delete_participant
(11311|11350) pub26<-delete_participant
(11311|11354) pub37<-delete_participant
(11311|11373) pub51<-delete_participant
(11311|11366) pub47<-delete_participant
(11311|11353) pub31<-delete_participant
(11311|11364) pub44<-delete_participant
(11311|11356) pub33<-delete_participant
(11311|11377) pub57<-delete_participant
(11311|11344) pub24<-delete_participant
(11311|11367) pub50<-delete_participant
(11311|11340) pub20<-delete_participant
(11311|11378) pub62<-delete_participant
(11311|11372) pub49<-delete_participant
(11311|11361) pub41<-delete_participant
(11311|11359) pub36<-delete_participant
(11311|11323) pub6<-delete_participant
(11311|11311) <- PublisherService::~PublisherService
(11311|11311) <- Subscriber delete_contained_entities
(11311|11311) <- Subscriber delete_participant
(11311|11317) WARNING: TransportReceiveStrategy::~TransportReceiveStrategy() - terminating with 23 unprocessed bytes.
(11311|11311) <- Subscriber::~Subscriber
(11311|11311) <- Thrasher shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl aggressive durable Time:4s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl single rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1 -n 1 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 11385 started at 2023-04-19 14:19:10
(11385|11385) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(11385|11385) -> Thrasher started
(11385|11385) -> Subscriber::Subscriber
(11385|11385)    Subscriber reader id: 0103000c.297a35f2.2c793856.00000007(70ec88d1)
(11385|11385) -> PublisherService::PublisherService
(11385|11385) -> PublisherService::start (1 threads)
(11385|11385) sub wait_received 0:1
(11385|11391) pub0->transport cfg_0
(11385|11391) pub0  writer id: 0103000c.297a35f2.2c793857.00000002(3de655ee)
(11385|11391) pub0->started
(11385|11391) pub0  100% (1 samples sent)
(11385|11391) pub0->wait_match() before write for 0103000c.297a35f2.2c793857.00000002(3de655ee)
(11385|11391) pub0<-match found! before write for 0103000c.297a35f2.2c793857.00000002(3de655ee)
(11385|11391) pub0  waiting for acks
(11385|11390)  sub 100% (1 samples received)
(11385|11390) sub condition_.notify_all
(11385|11385) sub condition_.wait returned
(11385|11385) sub check_received
(11385|11385) sub check_received returns 0
(11385|11385) <- PublisherService::end
(11385|11391) pub0  waiting for acks returned
(11385|11391) pub0<-delete_contained_entities
(11385|11391) pub0<-delete_participant
(11385|11385) <- PublisherService::~PublisherService
(11385|11385) <- Subscriber delete_contained_entities
(11385|11385) <- Subscriber delete_participant
(11385|11385) <- Subscriber::~Subscriber
(11385|11385) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl single rtps durable Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl double rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 2 -s 1 -n 2 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 11398 started at 2023-04-19 14:19:12
(11398|11398) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(11398|11398) -> Thrasher started
(11398|11398) -> Subscriber::Subscriber
(11398|11398)    Subscriber reader id: 0103000c.297a35f2.2c865696.00000007(39314410)
(11398|11398) -> PublisherService::PublisherService
(11398|11398) -> PublisherService::start (2 threads)
(11398|11398) sub wait_received 0:2
(11398|11404) pub0->transport cfg_0
(11398|11405) pub1->transport cfg_1
(11398|11405) pub1  writer id: 0103000c.297a35f2.2c865698.00000002(f66b0efe)
(11398|11405) pub1->started
(11398|11405) pub1  100% (1 samples sent)
(11398|11405) pub1->wait_match() before write for 0103000c.297a35f2.2c865698.00000002(f66b0efe)
(11398|11404) pub0  writer id: 0103000c.297a35f2.2c865697.00000002(743b992f)
(11398|11404) pub0->started
(11398|11404) pub0  100% (1 samples sent)
(11398|11404) pub0->wait_match() before write for 0103000c.297a35f2.2c865697.00000002(743b992f)
(11398|11404) pub0<-match found! before write for 0103000c.297a35f2.2c865697.00000002(743b992f)
(11398|11404) pub0  waiting for acks
(11398|11405) pub1<-match found! before write for 0103000c.297a35f2.2c865698.00000002(f66b0efe)
(11398|11405) pub1  waiting for acks
(11398|11403)  sub 50% (1 samples received)
(11398|11403)  sub 100% (2 samples received)
(11398|11403) sub condition_.notify_all
(11398|11398) sub condition_.wait returned
(11398|11398) sub check_received
(11398|11398) sub check_received returns 0
(11398|11398) <- PublisherService::end
(11398|11404) pub0  waiting for acks returned
(11398|11404) pub0<-delete_contained_entities
(11398|11405) pub1  waiting for acks returned
(11398|11405) pub1<-delete_contained_entities
(11398|11404) pub0<-delete_participant
(11398|11405) pub1<-delete_participant
(11398|11398) <- PublisherService::~PublisherService
(11398|11398) <- Subscriber delete_contained_entities
(11398|11398) <- Subscriber delete_participant
(11398|11398) <- Subscriber::~Subscriber
(11398|11398) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl double rtps durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl triangle rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 3 -s 3 -n 9 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 11415 started at 2023-04-19 14:19:13
(11415|11415) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(11415|11415) -> Thrasher started
(11415|11415) -> Subscriber::Subscriber
(11415|11415)    Subscriber reader id: 0103000c.297a35f2.2c97a0e1.00000007(70a115b1)
(11415|11415) -> PublisherService::PublisherService
(11415|11415) -> PublisherService::start (3 threads)
(11415|11415) sub wait_received 0:3
(11415|11422) pub1->transport cfg_1
(11415|11421) pub0->transport cfg_0
(11415|11423) pub2->transport cfg_2
(11415|11421) pub0  writer id: 0103000c.297a35f2.2c97a0e3.00000002(7a0bb25e)
(11415|11421) pub0->started
(11415|11421) pub0  33% (1 samples sent)
(11415|11421) pub0  66% (2 samples sent)
(11415|11421) pub0  100% (3 samples sent)
(11415|11421) pub0->wait_match() before write for 0103000c.297a35f2.2c97a0e3.00000002(7a0bb25e)
(11415|11423) pub2  writer id: 0103000c.297a35f2.2c97a0e4.00000002(c82b6e4e)
(11415|11423) pub2->started
(11415|11423) pub2  33% (1 samples sent)
(11415|11423) pub2  66% (2 samples sent)
(11415|11423) pub2  100% (3 samples sent)
(11415|11423) pub2->wait_match() before write for 0103000c.297a35f2.2c97a0e4.00000002(c82b6e4e)
(11415|11422) pub1  writer id: 0103000c.297a35f2.2c97a0e2.00000002(476b9bee)
(11415|11422) pub1->started
(11415|11422) pub1  33% (1 samples sent)
(11415|11422) pub1  66% (2 samples sent)
(11415|11422) pub1  100% (3 samples sent)
(11415|11422) pub1->wait_match() before write for 0103000c.297a35f2.2c97a0e2.00000002(476b9bee)
(11415|11423) pub2<-match found! before write for 0103000c.297a35f2.2c97a0e4.00000002(c82b6e4e)
(11415|11423) pub2  waiting for acks
(11415|11422) pub1<-match found! before write for 0103000c.297a35f2.2c97a0e2.00000002(476b9bee)
(11415|11422) pub1  waiting for acks
(11415|11421) pub0<-match found! before write for 0103000c.297a35f2.2c97a0e3.00000002(7a0bb25e)
(11415|11421) pub0  waiting for acks
(11415|11420)  sub 11% (1 samples received)
(11415|11420)  sub 22% (2 samples received)
(11415|11420)  sub 33% (3 samples received)
(11415|11420) sub condition_.notify_all
(11415|11415) sub condition_.wait returned
(11415|11415) sub check_received
(11415|11415) sub check_received returns 0
(11415|11415) <- PublisherService::end
(11415|11423) pub2  waiting for acks returned
(11415|11423) pub2<-delete_contained_entities
(11415|11422) pub1  waiting for acks returned
(11415|11422) pub1<-delete_contained_entities
(11415|11421) pub0  waiting for acks returned
(11415|11421) pub0<-delete_contained_entities
(11415|11423) pub2<-delete_participant
(11415|11422) pub1<-delete_participant
(11415|11421) pub0<-delete_participant
(11415|11415) <- PublisherService::~PublisherService
(11415|11415) <- Subscriber delete_contained_entities
(11415|11415) <- Subscriber delete_participant
(11415|11415) <- Subscriber::~Subscriber
(11415|11415) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl triangle rtps durable Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl default rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 1 -s 1024 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 11437 started at 2023-04-19 14:19:15
(11437|11437) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(11437|11437) -> Thrasher started
(11437|11437) -> Subscriber::Subscriber
(11437|11437)    Subscriber reader id: 0103000c.297a35f2.2cadf243.00000007(37138aa7)
(11437|11437) -> PublisherService::PublisherService
(11437|11437) -> PublisherService::start (1 threads)
(11437|11437) sub wait_received 0:1
(11437|11443) pub0->transport cfg_0
(11437|11443) pub0  writer id: 0103000c.297a35f2.2cadf244.00000002(f559a238)
(11437|11443) pub0->started
(11437|11443) pub0  10% (103 samples sent)
(11437|11443) pub0  20% (205 samples sent)
(11437|11443) pub0  30% (308 samples sent)
(11437|11443) pub0  40% (410 samples sent)
(11437|11443) pub0  50% (512 samples sent)
(11437|11443) pub0  60% (615 samples sent)
(11437|11443) pub0  70% (717 samples sent)
(11437|11443) pub0  80% (820 samples sent)
(11437|11443) pub0  90% (922 samples sent)
(11437|11443) pub0  100% (1024 samples sent)
(11437|11443) pub0->wait_match() before write for 0103000c.297a35f2.2cadf244.00000002(f559a238)
(11437|11443) pub0<-match found! before write for 0103000c.297a35f2.2cadf244.00000002(f559a238)
(11437|11443) pub0  waiting for acks
(11437|11442) sub condition_.notify_all
(11437|11437) sub condition_.wait returned
(11437|11437) sub check_received
(11437|11437) sub check_received returns 0
(11437|11437) <- PublisherService::end
(11437|11443) pub0  waiting for acks returned
(11437|11443) pub0<-delete_contained_entities
(11437|11443) pub0<-delete_participant
(11437|11437) <- PublisherService::~PublisherService
(11437|11437) <- Subscriber delete_contained_entities
(11437|11437) <- Subscriber delete_participant
(11437|11437) <- Subscriber::~Subscriber
(11437|11437) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl default rtps durable Time:1s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl low rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 8 -s 128 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 11449 started at 2023-04-19 14:19:17
(11449|11449) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(11449|11449) -> Thrasher started
(11449|11449) -> Subscriber::Subscriber
(11449|11449)    Subscriber reader id: 0103000c.297a35f2.2cb95f21.00000007(e2f21467)
(11449|11449) -> PublisherService::PublisherService
(11449|11449) -> PublisherService::start (8 threads)
(11449|11449) sub wait_received 0:8
(11449|11458) pub4->transport cfg_4
(11449|11459) pub6->transport cfg_6
(11449|11456) pub1->transport cfg_1
(11449|11455) pub0->transport cfg_0
(11449|11461) pub3->transport cfg_3
(11449|11457) pub2->transport cfg_2
(11449|11460) pub5->transport cfg_5
(11449|11462) pub7->transport cfg_7
(11449|11455) pub0  writer id: 0103000c.297a35f2.2cb95f22.00000002(d5389a38)
(11449|11455) pub0->started
(11449|11456) pub1  writer id: 0103000c.297a35f2.2cb95f23.00000002(e858b388)
(11449|11456) pub1->started
(11449|11462) pub7  writer id: 0103000c.297a35f2.2cb95f29.00000002(a2e8ab29)
(11449|11462) pub7->started
(11449|11458) pub4  writer id: 0103000c.297a35f2.2cb95f24.00000002(5a786f98)
(11449|11458) pub4->started
(11449|11458) pub4  10% (13 samples sent)
(11449|11458) pub4  20% (26 samples sent)
(11449|11458) pub4  30% (39 samples sent)
(11449|11460) pub5  writer id: 0103000c.297a35f2.2cb95f27.00000002(1dd81548)
(11449|11460) pub5->started
(11449|11460) pub5  10% (13 samples sent)
(11449|11460) pub5  20% (26 samples sent)
(11449|11460) pub5  30% (39 samples sent)
(11449|11460) pub5  40% (52 samples sent)
(11449|11458) pub4  40% (52 samples sent)
(11449|11458) pub4  50% (64 samples sent)
(11449|11458) pub4  60% (77 samples sent)
(11449|11458) pub4  70% (90 samples sent)
(11449|11458) pub4  80% (103 samples sent)
(11449|11458) pub4  90% (116 samples sent)
(11449|11455) pub0  10% (13 samples sent)
(11449|11455) pub0  20% (26 samples sent)
(11449|11455) pub0  30% (39 samples sent)
(11449|11455) pub0  40% (52 samples sent)
(11449|11462) pub7  10% (13 samples sent)
(11449|11459) pub6  writer id: 0103000c.297a35f2.2cb95f28.00000002(9f888299)
(11449|11459) pub6->started
(11449|11459) pub6  10% (13 samples sent)
(11449|11459) pub6  20% (26 samples sent)
(11449|11462) pub7  20% (26 samples sent)
(11449|11462) pub7  30% (39 samples sent)
(11449|11456) pub1  10% (13 samples sent)
(11449|11457) pub2  writer id: 0103000c.297a35f2.2cb95f26.00000002(20b83cf8)
(11449|11457) pub2->started
(11449|11456) pub1  20% (26 samples sent)
(11449|11457) pub2  10% (13 samples sent)
(11449|11456) pub1  30% (39 samples sent)
(11449|11457) pub2  20% (26 samples sent)
(11449|11457) pub2  30% (39 samples sent)
(11449|11457) pub2  40% (52 samples sent)
(11449|11457) pub2  50% (64 samples sent)
(11449|11459) pub6  30% (39 samples sent)
(11449|11459) pub6  40% (52 samples sent)
(11449|11457) pub2  60% (77 samples sent)
(11449|11459) pub6  50% (64 samples sent)
(11449|11457) pub2  70% (90 samples sent)
(11449|11461) pub3  writer id: 0103000c.297a35f2.2cb95f25.00000002(67184628)
(11449|11461) pub3->started
(11449|11461) pub3  10% (13 samples sent)
(11449|11460) pub5  50% (64 samples sent)
(11449|11461) pub3  20% (26 samples sent)
(11449|11460) pub5  60% (77 samples sent)
(11449|11461) pub3  30% (39 samples sent)
(11449|11456) pub1  40% (52 samples sent)
(11449|11456) pub1  50% (64 samples sent)
(11449|11456) pub1  60% (77 samples sent)
(11449|11461) pub3  40% (52 samples sent)
(11449|11461) pub3  50% (64 samples sent)
(11449|11461) pub3  60% (77 samples sent)
(11449|11455) pub0  50% (64 samples sent)
(11449|11455) pub0  60% (77 samples sent)
(11449|11459) pub6  60% (77 samples sent)
(11449|11459) pub6  70% (90 samples sent)
(11449|11459) pub6  80% (103 samples sent)
(11449|11462) pub7  40% (52 samples sent)
(11449|11462) pub7  50% (64 samples sent)
(11449|11461) pub3  70% (90 samples sent)
(11449|11462) pub7  60% (77 samples sent)
(11449|11461) pub3  80% (103 samples sent)
(11449|11462) pub7  70% (90 samples sent)
(11449|11461) pub3  90% (116 samples sent)
(11449|11458) pub4  100% (128 samples sent)
(11449|11458) pub4->wait_match() before write for 0103000c.297a35f2.2cb95f24.00000002(5a786f98)
(11449|11460) pub5  70% (90 samples sent)
(11449|11460) pub5  80% (103 samples sent)
(11449|11457) pub2  80% (103 samples sent)
(11449|11457) pub2  90% (116 samples sent)
(11449|11456) pub1  70% (90 samples sent)
(11449|11455) pub0  70% (90 samples sent)
(11449|11456) pub1  80% (103 samples sent)
(11449|11455) pub0  80% (103 samples sent)
(11449|11455) pub0  90% (116 samples sent)
(11449|11459) pub6  90% (116 samples sent)
(11449|11459) pub6  100% (128 samples sent)
(11449|11459) pub6->wait_match() before write for 0103000c.297a35f2.2cb95f28.00000002(9f888299)
(11449|11462) pub7  80% (103 samples sent)
(11449|11462) pub7  90% (116 samples sent)
(11449|11462) pub7  100% (128 samples sent)
(11449|11462) pub7->wait_match() before write for 0103000c.297a35f2.2cb95f29.00000002(a2e8ab29)
(11449|11460) pub5  90% (116 samples sent)
(11449|11460) pub5  100% (128 samples sent)
(11449|11460) pub5->wait_match() before write for 0103000c.297a35f2.2cb95f27.00000002(1dd81548)
(11449|11457) pub2  100% (128 samples sent)
(11449|11457) pub2->wait_match() before write for 0103000c.297a35f2.2cb95f26.00000002(20b83cf8)
(11449|11455) pub0  100% (128 samples sent)
(11449|11455) pub0->wait_match() before write for 0103000c.297a35f2.2cb95f22.00000002(d5389a38)
(11449|11461) pub3  100% (128 samples sent)
(11449|11461) pub3->wait_match() before write for 0103000c.297a35f2.2cb95f25.00000002(67184628)
(11449|11456) pub1  90% (116 samples sent)
(11449|11456) pub1  100% (128 samples sent)
(11449|11456) pub1->wait_match() before write for 0103000c.297a35f2.2cb95f23.00000002(e858b388)
(11449|11460) pub5<-match found! before write for 0103000c.297a35f2.2cb95f27.00000002(1dd81548)
(11449|11460) pub5  waiting for acks
(11449|11461) pub3<-match found! before write for 0103000c.297a35f2.2cb95f25.00000002(67184628)
(11449|11461) pub3  waiting for acks
(11449|11462) pub7<-match found! before write for 0103000c.297a35f2.2cb95f29.00000002(a2e8ab29)
(11449|11462) pub7  waiting for acks
(11449|11457) pub2<-match found! before write for 0103000c.297a35f2.2cb95f26.00000002(20b83cf8)
(11449|11457) pub2  waiting for acks
(11449|11459) pub6<-match found! before write for 0103000c.297a35f2.2cb95f28.00000002(9f888299)
(11449|11459) pub6  waiting for acks
(11449|11458) pub4<-match found! before write for 0103000c.297a35f2.2cb95f24.00000002(5a786f98)
(11449|11458) pub4  waiting for acks
(11449|11455) pub0<-match found! before write for 0103000c.297a35f2.2cb95f22.00000002(d5389a38)
(11449|11455) pub0  waiting for acks
(11449|11456) pub1<-match found! before write for 0103000c.297a35f2.2cb95f23.00000002(e858b388)
(11449|11456) pub1  waiting for acks
(11449|11454) sub condition_.notify_all
(11449|11449) sub condition_.wait returned
(11449|11449) sub check_received
(11449|11449) sub check_received returns 0
(11449|11449) <- PublisherService::end
(11449|11461) pub3  waiting for acks returned
(11449|11460) pub5  waiting for acks returned
(11449|11461) pub3<-delete_contained_entities
(11449|11460) pub5<-delete_contained_entities
(11449|11462) pub7  waiting for acks returned
(11449|11462) pub7<-delete_contained_entities
(11449|11457) pub2  waiting for acks returned
(11449|11457) pub2<-delete_contained_entities
(11449|11458) pub4  waiting for acks returned
(11449|11458) pub4<-delete_contained_entities
(11449|11456) pub1  waiting for acks returned
(11449|11456) pub1<-delete_contained_entities
(11449|11459) pub6  waiting for acks returned
(11449|11459) pub6<-delete_contained_entities
(11449|11455) pub0  waiting for acks returned
(11449|11455) pub0<-delete_contained_entities
(11449|11460) pub5<-delete_participant
(11449|11461) pub3<-delete_participant
(11449|11462) pub7<-delete_participant
(11449|11457) pub2<-delete_participant
(11449|11458) pub4<-delete_participant
(11449|11456) pub1<-delete_participant
(11449|11459) pub6<-delete_participant
(11449|11455) pub0<-delete_participant
(11449|11449) <- PublisherService::~PublisherService
(11449|11449) <- Subscriber delete_contained_entities
(11449|11449) <- Subscriber delete_participant
(11449|11449) <- Subscriber::~Subscriber
(11449|11449) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl low rtps durable Time:2s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl medium rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 16 -s 64 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 11496 started at 2023-04-19 14:19:18
(11496|11496) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(11496|11496) -> Thrasher started
(11496|11496) -> Subscriber::Subscriber
(11496|11496)    Subscriber reader id: 0103000c.297a35f2.2ce87322.00000007(509e49f9)
(11496|11496) -> PublisherService::PublisherService
(11496|11496) -> PublisherService::start (16 threads)
(11496|11496) sub wait_received 0:16
(11496|11512) pub9->transport cfg_9
(11496|11509) pub4->transport cfg_4
(11496|11508) pub7->transport cfg_7
(11496|11505) pub6->transport cfg_6
(11496|11514) pub12->transport cfg_12
(11496|11503) pub1->transport cfg_1
(11496|11511) pub10->transport cfg_10
(11496|11502) pub0->transport cfg_0
(11496|11517) pub15->transport cfg_15
(11496|11513) pub11->transport cfg_11
(11496|11506) pub5->transport cfg_5
(11496|11507) pub3->transport cfg_3
(11496|11510) pub8->transport cfg_8
(11496|11515) pub13->transport cfg_13
(11496|11504) pub2->transport cfg_2
(11496|11508) pub7  writer id: 0103000c.297a35f2.2ce8732a.00000002(1084f6b7)
(11496|11508) pub7->started
(11496|11513) pub11  writer id: 0103000c.297a35f2.2ce8732e.00000002(e5045077)
(11496|11513) pub11->started
(11496|11507) pub3  writer id: 0103000c.297a35f2.2ce87326.00000002(d5741bb6)
(11496|11507) pub3->started
(11496|11508) pub7  10% (7 samples sent)
(11496|11513) pub11  10% (7 samples sent)
(11496|11513) pub11  20% (13 samples sent)
(11496|11513) pub11  31% (20 samples sent)
(11496|11513) pub11  40% (26 samples sent)
(11496|11513) pub11  50% (32 samples sent)
(11496|11513) pub11  60% (39 samples sent)
(11496|11513) pub11  70% (45 samples sent)
(11496|11507) pub3  10% (7 samples sent)
(11496|11507) pub3  20% (13 samples sent)
(11496|11507) pub3  31% (20 samples sent)
(11496|11507) pub3  40% (26 samples sent)
(11496|11507) pub3  50% (32 samples sent)
(11496|11507) pub3  60% (39 samples sent)
(11496|11515) pub13  writer id: 0103000c.297a35f2.2ce8732f.00000002(d86479c7)
(11496|11515) pub13->started
(11496|11515) pub13  10% (7 samples sent)
(11496|11515) pub13  20% (13 samples sent)
(11496|11512) pub9  writer id: 0103000c.297a35f2.2ce8732d.00000002(a2a42aa7)
(11496|11512) pub9->started
(11496|11508) pub7  20% (13 samples sent)
(11496|11508) pub7  31% (20 samples sent)
(11496|11508) pub7  40% (26 samples sent)
(11496|11508) pub7  50% (32 samples sent)
(11496|11508) pub7  60% (39 samples sent)
(11496|11508) pub7  70% (45 samples sent)
(11496|11508) pub7  81% (52 samples sent)
(11496|11508) pub7  90% (58 samples sent)
(11496|11508) pub7  100% (64 samples sent)
(11496|11508) pub7->wait_match() before write for 0103000c.297a35f2.2ce8732a.00000002(1084f6b7)
(11496|11513) pub11  81% (52 samples sent)
(11496|11513) pub11  90% (58 samples sent)
(11496|11513) pub11  100% (64 samples sent)
(11496|11513) pub11->wait_match() before write for 0103000c.297a35f2.2ce8732e.00000002(e5045077)
(11496|11507) pub3  70% (45 samples sent)
(11496|11507) pub3  81% (52 samples sent)
(11496|11507) pub3  90% (58 samples sent)
(11496|11517) pub15  writer id: 0103000c.297a35f2.2ce87332.00000002(40142af4)
(11496|11517) pub15->started
(11496|11517) pub15  10% (7 samples sent)
(11496|11510) pub8  writer id: 0103000c.297a35f2.2ce8732b.00000002(2de4df07)
(11496|11510) pub8->started
(11496|11512) pub9  10% (7 samples sent)
(11496|11512) pub9  20% (13 samples sent)
(11496|11504) pub2  writer id: 0103000c.297a35f2.2ce87327.00000002(e8143206)
(11496|11504) pub2->started
(11496|11504) pub2  10% (7 samples sent)
(11496|11504) pub2  20% (13 samples sent)
(11496|11504) pub2  31% (20 samples sent)
(11496|11504) pub2  40% (26 samples sent)
(11496|11504) pub2  50% (32 samples sent)
(11496|11507) pub3  100% (64 samples sent)
(11496|11507) pub3->wait_match() before write for 0103000c.297a35f2.2ce87326.00000002(d5741bb6)
(11496|11515) pub13  31% (20 samples sent)
(11496|11510) pub8  10% (7 samples sent)
(11496|11515) pub13  40% (26 samples sent)
(11496|11510) pub8  20% (13 samples sent)
(11496|11515) pub13  50% (32 samples sent)
(11496|11515) pub13  60% (39 samples sent)
(11496|11510) pub8  31% (20 samples sent)
(11496|11515) pub13  70% (45 samples sent)
(11496|11510) pub8  40% (26 samples sent)
(11496|11515) pub13  81% (52 samples sent)
(11496|11515) pub13  90% (58 samples sent)
(11496|11510) pub8  50% (32 samples sent)
(11496|11515) pub13  100% (64 samples sent)
(11496|11515) pub13->wait_match() before write for 0103000c.297a35f2.2ce8732f.00000002(d86479c7)
(11496|11516) pub14->transport cfg_14
(11496|11512) pub9  31% (20 samples sent)
(11496|11512) pub9  40% (26 samples sent)
(11496|11512) pub9  50% (32 samples sent)
(11496|11512) pub9  60% (39 samples sent)
(11496|11514) pub12  writer id: 0103000c.297a35f2.2ce87330.00000002(3ad47994)
(11496|11514) pub12->started
(11496|11503) pub1  writer id: 0103000c.297a35f2.2ce87324.00000002(afb448d6)
(11496|11503) pub1->started
(11496|11503) pub1  10% (7 samples sent)
(11496|11503) pub1  20% (13 samples sent)
(11496|11503) pub1  31% (20 samples sent)
(11496|11503) pub1  40% (26 samples sent)
(11496|11503) pub1  50% (32 samples sent)
(11496|11503) pub1  60% (39 samples sent)
(11496|11503) pub1  70% (45 samples sent)
(11496|11517) pub15  20% (13 samples sent)
(11496|11510) pub8  60% (39 samples sent)
(11496|11510) pub8  70% (45 samples sent)
(11496|11517) pub15  31% (20 samples sent)
(11496|11510) pub8  81% (52 samples sent)
(11496|11517) pub15  40% (26 samples sent)
(11496|11510) pub8  90% (58 samples sent)
(11496|11517) pub15  50% (32 samples sent)
(11496|11510) pub8  100% (64 samples sent)
(11496|11510) pub8->wait_match() before write for 0103000c.297a35f2.2ce8732b.00000002(2de4df07)
(11496|11512) pub9  70% (45 samples sent)
(11496|11512) pub9  81% (52 samples sent)
(11496|11514) pub12  10% (7 samples sent)
(11496|11512) pub9  90% (58 samples sent)
(11496|11514) pub12  20% (13 samples sent)
(11496|11512) pub9  100% (64 samples sent)
(11496|11512) pub9->wait_match() before write for 0103000c.297a35f2.2ce8732d.00000002(a2a42aa7)
(11496|11504) pub2  60% (39 samples sent)
(11496|11504) pub2  70% (45 samples sent)
(11496|11504) pub2  81% (52 samples sent)
(11496|11504) pub2  90% (58 samples sent)
(11496|11504) pub2  100% (64 samples sent)
(11496|11504) pub2->wait_match() before write for 0103000c.297a35f2.2ce87327.00000002(e8143206)
(11496|11506) pub5  writer id: 0103000c.297a35f2.2ce87329.00000002(57248c67)
(11496|11503) pub1  81% (52 samples sent)
(11496|11503) pub1  90% (58 samples sent)
(11496|11503) pub1  100% (64 samples sent)
(11496|11503) pub1->wait_match() before write for 0103000c.297a35f2.2ce87324.00000002(afb448d6)
(11496|11505) pub6  writer id: 0103000c.297a35f2.2ce87328.00000002(6a44a5d7)
(11496|11505) pub6->started
(11496|11505) pub6  10% (7 samples sent)
(11496|11505) pub6  20% (13 samples sent)
(11496|11505) pub6  31% (20 samples sent)
(11496|11505) pub6  40% (26 samples sent)
(11496|11505) pub6  50% (32 samples sent)
(11496|11505) pub6  60% (39 samples sent)
(11496|11505) pub6  70% (45 samples sent)
(11496|11505) pub6  81% (52 samples sent)
(11496|11505) pub6  90% (58 samples sent)
(11496|11517) pub15  60% (39 samples sent)
(11496|11517) pub15  70% (45 samples sent)
(11496|11506) pub5->started
(11496|11505) pub6  100% (64 samples sent)
(11496|11514) pub12  31% (20 samples sent)
(11496|11517) pub15  81% (52 samples sent)
(11496|11514) pub12  40% (26 samples sent)
(11496|11517) pub15  90% (58 samples sent)
(11496|11514) pub12  50% (32 samples sent)
(11496|11517) pub15  100% (64 samples sent)
(11496|11517) pub15->wait_match() before write for 0103000c.297a35f2.2ce87332.00000002(40142af4)
(11496|11514) pub12  60% (39 samples sent)
(11496|11514) pub12  70% (45 samples sent)
(11496|11506) pub5  10% (7 samples sent)
(11496|11506) pub5  20% (13 samples sent)
(11496|11506) pub5  31% (20 samples sent)
(11496|11506) pub5  40% (26 samples sent)
(11496|11506) pub5  50% (32 samples sent)
(11496|11506) pub5  60% (39 samples sent)
(11496|11514) pub12  81% (52 samples sent)
(11496|11506) pub5  70% (45 samples sent)
(11496|11505) pub6->wait_match() before write for 0103000c.297a35f2.2ce87328.00000002(6a44a5d7)
(11496|11514) pub12  90% (58 samples sent)
(11496|11506) pub5  81% (52 samples sent)
(11496|11514) pub12  100% (64 samples sent)
(11496|11506) pub5  90% (58 samples sent)
(11496|11506) pub5  100% (64 samples sent)
(11496|11506) pub5->wait_match() before write for 0103000c.297a35f2.2ce87329.00000002(57248c67)
(11496|11514) pub12->wait_match() before write for 0103000c.297a35f2.2ce87330.00000002(3ad47994)
(11496|11509) pub4  writer id: 0103000c.297a35f2.2ce87325.00000002(92d46166)
(11496|11509) pub4->started
(11496|11509) pub4  10% (7 samples sent)
(11496|11509) pub4  20% (13 samples sent)
(11496|11509) pub4  31% (20 samples sent)
(11496|11502) pub0  writer id: 0103000c.297a35f2.2ce87323.00000002(1d9494c6)
(11496|11502) pub0->started
(11496|11502) pub0  10% (7 samples sent)
(11496|11502) pub0  20% (13 samples sent)
(11496|11502) pub0  31% (20 samples sent)
(11496|11502) pub0  40% (26 samples sent)
(11496|11509) pub4  40% (26 samples sent)
(11496|11509) pub4  50% (32 samples sent)
(11496|11509) pub4  60% (39 samples sent)
(11496|11509) pub4  70% (45 samples sent)
(11496|11509) pub4  81% (52 samples sent)
(11496|11509) pub4  90% (58 samples sent)
(11496|11509) pub4  100% (64 samples sent)
(11496|11509) pub4->wait_match() before write for 0103000c.297a35f2.2ce87325.00000002(92d46166)
(11496|11502) pub0  50% (32 samples sent)
(11496|11502) pub0  60% (39 samples sent)
(11496|11502) pub0  70% (45 samples sent)
(11496|11502) pub0  81% (52 samples sent)
(11496|11502) pub0  90% (58 samples sent)
(11496|11502) pub0  100% (64 samples sent)
(11496|11502) pub0->wait_match() before write for 0103000c.297a35f2.2ce87323.00000002(1d9494c6)
(11496|11511) pub10  writer id: 0103000c.297a35f2.2ce8732c.00000002(9fc40317)
(11496|11511) pub10->started
(11496|11511) pub10  10% (7 samples sent)
(11496|11511) pub10  20% (13 samples sent)
(11496|11511) pub10  31% (20 samples sent)
(11496|11511) pub10  40% (26 samples sent)
(11496|11511) pub10  50% (32 samples sent)
(11496|11511) pub10  60% (39 samples sent)
(11496|11511) pub10  70% (45 samples sent)
(11496|11511) pub10  81% (52 samples sent)
(11496|11511) pub10  90% (58 samples sent)
(11496|11511) pub10  100% (64 samples sent)
(11496|11511) pub10->wait_match() before write for 0103000c.297a35f2.2ce8732c.00000002(9fc40317)
(11496|11516) pub14  writer id: 0103000c.297a35f2.2ce87331.00000002(07b45024)
(11496|11516) pub14->started
(11496|11516) pub14  10% (7 samples sent)
(11496|11516) pub14  20% (13 samples sent)
(11496|11516) pub14  31% (20 samples sent)
(11496|11516) pub14  40% (26 samples sent)
(11496|11516) pub14  50% (32 samples sent)
(11496|11516) pub14  60% (39 samples sent)
(11496|11516) pub14  70% (45 samples sent)
(11496|11516) pub14  81% (52 samples sent)
(11496|11516) pub14  90% (58 samples sent)
(11496|11516) pub14  100% (64 samples sent)
(11496|11516) pub14->wait_match() before write for 0103000c.297a35f2.2ce87331.00000002(07b45024)
(11496|11517) pub15<-match found! before write for 0103000c.297a35f2.2ce87332.00000002(40142af4)
(11496|11517) pub15  waiting for acks
(11496|11505) pub6<-match found! before write for 0103000c.297a35f2.2ce87328.00000002(6a44a5d7)
(11496|11505) pub6  waiting for acks
(11496|11517) pub15  waiting for acks returned
(11496|11517) pub15<-delete_contained_entities
(11496|11515) pub13<-match found! before write for 0103000c.297a35f2.2ce8732f.00000002(d86479c7)
(11496|11515) pub13  waiting for acks
(11496|11503) pub1<-match found! before write for 0103000c.297a35f2.2ce87324.00000002(afb448d6)
(11496|11503) pub1  waiting for acks
(11496|11516) pub14<-match found! before write for 0103000c.297a35f2.2ce87331.00000002(07b45024)
(11496|11516) pub14  waiting for acks
(11496|11509) pub4<-match found! before write for 0103000c.297a35f2.2ce87325.00000002(92d46166)
(11496|11509) pub4  waiting for acks
(11496|11510) pub8<-match found! before write for 0103000c.297a35f2.2ce8732b.00000002(2de4df07)
(11496|11510) pub8  waiting for acks
(11496|11505) pub6  waiting for acks returned
(11496|11505) pub6<-delete_contained_entities
(11496|11504) pub2<-match found! before write for 0103000c.297a35f2.2ce87327.00000002(e8143206)
(11496|11504) pub2  waiting for acks
(11496|11514) pub12<-match found! before write for 0103000c.297a35f2.2ce87330.00000002(3ad47994)
(11496|11514) pub12  waiting for acks
(11496|11517) pub15<-delete_participant
(11496|11513) pub11<-match found! before write for 0103000c.297a35f2.2ce8732e.00000002(e5045077)
(11496|11513) pub11  waiting for acks
(11496|11508) pub7<-match found! before write for 0103000c.297a35f2.2ce8732a.00000002(1084f6b7)
(11496|11508) pub7  waiting for acks
(11496|11512) pub9<-match found! before write for 0103000c.297a35f2.2ce8732d.00000002(a2a42aa7)
(11496|11512) pub9  waiting for acks
(11496|11506) pub5<-match found! before write for 0103000c.297a35f2.2ce87329.00000002(57248c67)
(11496|11506) pub5  waiting for acks
(11496|11507) pub3<-match found! before write for 0103000c.297a35f2.2ce87326.00000002(d5741bb6)
(11496|11507) pub3  waiting for acks
(11496|11511) pub10<-match found! before write for 0103000c.297a35f2.2ce8732c.00000002(9fc40317)
(11496|11511) pub10  waiting for acks
(11496|11515) pub13  waiting for acks returned
(11496|11515) pub13<-delete_contained_entities
(11496|11502) pub0<-match found! before write for 0103000c.297a35f2.2ce87323.00000002(1d9494c6)
(11496|11502) pub0  waiting for acks
(11496|11516) pub14  waiting for acks returned
(11496|11503) pub1  waiting for acks returned
(11496|11503) pub1<-delete_contained_entities
(11496|11516) pub14<-delete_contained_entities
(11496|11509) pub4  waiting for acks returned
(11496|11509) pub4<-delete_contained_entities
(11496|11510) pub8  waiting for acks returned
(11496|11510) pub8<-delete_contained_entities
(11496|11501) sub condition_.notify_all
(11496|11496) sub condition_.wait returned
(11496|11496) sub check_received
(11496|11496) sub check_received returns 0
(11496|11496) <- PublisherService::end
(11496|11504) pub2  waiting for acks returned
(11496|11504) pub2<-delete_contained_entities
(11496|11514) pub12  waiting for acks returned
(11496|11514) pub12<-delete_contained_entities
(11496|11513) pub11  waiting for acks returned
(11496|11513) pub11<-delete_contained_entities
(11496|11508) pub7  waiting for acks returned
(11496|11508) pub7<-delete_contained_entities
(11496|11512) pub9  waiting for acks returned
(11496|11512) pub9<-delete_contained_entities
(11496|11507) pub3  waiting for acks returned
(11496|11507) pub3<-delete_contained_entities
(11496|11506) pub5  waiting for acks returned
(11496|11506) pub5<-delete_contained_entities
(11496|11505) pub6<-delete_participant
(11496|11511) pub10  waiting for acks returned
(11496|11511) pub10<-delete_contained_entities
(11496|11502) pub0  waiting for acks returned
(11496|11502) pub0<-delete_contained_entities
(11496|11515) pub13<-delete_participant
(11496|11503) pub1<-delete_participant
(11496|11516) pub14<-delete_participant
(11496|11509) pub4<-delete_participant
(11496|11510) pub8<-delete_participant
(11496|11504) pub2<-delete_participant
(11496|11514) pub12<-delete_participant
(11496|11513) pub11<-delete_participant
(11496|11508) pub7<-delete_participant
(11496|11512) pub9<-delete_participant
(11496|11507) pub3<-delete_participant
(11496|11506) pub5<-delete_participant
(11496|11511) pub10<-delete_participant
(11496|11502) pub0<-delete_participant
(11496|11496) <- PublisherService::~PublisherService
(11496|11496) <- Subscriber delete_contained_entities
(11496|11496) <- Subscriber delete_participant
(11496|11496) <- Subscriber::~Subscriber
(11496|11496) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl medium rtps durable Time:5s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl high rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 32 -s 32 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 11583 started at 2023-04-19 14:19:23
(11583|11583) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(11583|11583) -> Thrasher started
(11583|11583) -> Subscriber::Subscriber
(11583|11583)    Subscriber reader id: 0103000c.297a35f2.2d3fee26.00000007(a628d7f1)
(11583|11583) -> PublisherService::PublisherService
(11583|11583) -> PublisherService::start (32 threads)
(11583|11583) sub wait_received 0:32
(11583|11599) pub3->transport cfg_3
(11583|11606) pub17->transport cfg_17
(11583|11596) pub4->transport cfg_4
(11583|11593) pub8->transport cfg_8
(11583|11590) pub1->transport cfg_1
(11583|11591) pub9->transport cfg_9
(11583|11589) pub0->transport cfg_0
(11583|11594) pub5->transport cfg_5
(11583|11592) pub7->transport cfg_7
(11583|11595) pub2->transport cfg_2
(11583|11597) pub6->transport cfg_6
(11583|11607) pub18->transport cfg_18
(11583|11620) pub30->transport cfg_30
(11583|11602) pub12->transport cfg_12
(11583|11598) pub10->transport cfg_10
(11583|11604) pub16->transport cfg_16
(11583|11601) pub11->transport cfg_11
(11583|11619) pub31->transport cfg_31
(11583|11615) pub26->transport cfg_26
(11583|11613) pub24->transport cfg_24
(11583|11609) pub20->transport cfg_20
(11583|11616) pub27->transport cfg_27
(11583|11603) pub14->transport cfg_14
(11583|11589) pub0  writer id: 0103000c.297a35f2.2d3fee27.00000002(eb220ace)
(11583|11589) pub0->started
(11583|11606) pub17  writer id: 0103000c.297a35f2.2d3fee37.00000002(8bc29d4c)
(11583|11606) pub17->started
(11583|11593) pub8  writer id: 0103000c.297a35f2.2d3fee2f.00000002(db52410f)
(11583|11593) pub8->started
(11583|11593) pub8  12% (4 samples sent)
(11583|11593) pub8  21% (7 samples sent)
(11583|11593) pub8  31% (10 samples sent)
(11583|11593) pub8  40% (13 samples sent)
(11583|11593) pub8  50% (16 samples sent)
(11583|11593) pub8  62% (20 samples sent)
(11583|11593) pub8  71% (23 samples sent)
(11583|11593) pub8  81% (26 samples sent)
(11583|11593) pub8  90% (29 samples sent)
(11583|11593) pub8  100% (32 samples sent)
(11583|11593) pub8->wait_match() before write for 0103000c.297a35f2.2d3fee2f.00000002(db52410f)
(11583|11592) pub7  writer id: 0103000c.297a35f2.2d3fee2d.00000002(a192126f)
(11583|11592) pub7->started
(11583|11614) pub25->transport cfg_25
(11583|11605) pub15->transport cfg_15
(11583|11618) pub29->transport cfg_29
(11583|11608) pub19->transport cfg_19
(11583|11611) pub21->transport cfg_21
(11583|11589) pub0  12% (4 samples sent)
(11583|11589) pub0  21% (7 samples sent)
(11583|11589) pub0  31% (10 samples sent)
(11583|11589) pub0  40% (13 samples sent)
(11583|11589) pub0  50% (16 samples sent)
(11583|11589) pub0  62% (20 samples sent)
(11583|11589) pub0  71% (23 samples sent)
(11583|11589) pub0  81% (26 samples sent)
(11583|11589) pub0  90% (29 samples sent)
(11583|11589) pub0  100% (32 samples sent)
(11583|11589) pub0->wait_match() before write for 0103000c.297a35f2.2d3fee27.00000002(eb220ace)
(11583|11606) pub17  12% (4 samples sent)
(11583|11606) pub17  21% (7 samples sent)
(11583|11606) pub17  31% (10 samples sent)
(11583|11606) pub17  40% (13 samples sent)
(11583|11606) pub17  50% (16 samples sent)
(11583|11606) pub17  62% (20 samples sent)
(11583|11606) pub17  71% (23 samples sent)
(11583|11606) pub17  81% (26 samples sent)
(11583|11606) pub17  90% (29 samples sent)
(11583|11606) pub17  100% (32 samples sent)
(11583|11606) pub17->wait_match() before write for 0103000c.297a35f2.2d3fee37.00000002(8bc29d4c)
(11583|11612) pub23->transport cfg_23
(11583|11596) pub4  writer id: 0103000c.297a35f2.2d3fee2b.00000002(2ed2e7cf)
(11583|11596) pub4->started
(11583|11596) pub4  12% (4 samples sent)
(11583|11596) pub4  21% (7 samples sent)
(11583|11596) pub4  31% (10 samples sent)
(11583|11596) pub4  40% (13 samples sent)
(11583|11596) pub4  50% (16 samples sent)
(11583|11596) pub4  62% (20 samples sent)
(11583|11596) pub4  71% (23 samples sent)
(11583|11596) pub4  81% (26 samples sent)
(11583|11596) pub4  90% (29 samples sent)
(11583|11596) pub4  100% (32 samples sent)
(11583|11596) pub4->wait_match() before write for 0103000c.297a35f2.2d3fee2b.00000002(2ed2e7cf)
(11583|11590) pub1  writer id: 0103000c.297a35f2.2d3fee28.00000002(69729d1f)
(11583|11590) pub1->started
(11583|11592) pub7  12% (4 samples sent)
(11583|11599) pub3  writer id: 0103000c.297a35f2.2d3fee2e.00000002(e63268bf)
(11583|11599) pub3->started
(11583|11599) pub3  12% (4 samples sent)
(11583|11599) pub3  21% (7 samples sent)
(11583|11599) pub3  31% (10 samples sent)
(11583|11599) pub3  40% (13 samples sent)
(11583|11599) pub3  50% (16 samples sent)
(11583|11599) pub3  62% (20 samples sent)
(11583|11599) pub3  71% (23 samples sent)
(11583|11599) pub3  81% (26 samples sent)
(11583|11599) pub3  90% (29 samples sent)
(11583|11599) pub3  100% (32 samples sent)
(11583|11607) pub18  writer id: 0103000c.297a35f2.2d3fee38.00000002(09920a9d)
(11583|11617) pub28->transport cfg_28
(11583|11600) pub13->transport cfg_13
(11583|11591) pub9  writer id: 0103000c.297a35f2.2d3fee30.00000002(39e2415c)
(11583|11591) pub9->started
(11583|11591) pub9  12% (4 samples sent)
(11583|11591) pub9  21% (7 samples sent)
(11583|11591) pub9  31% (10 samples sent)
(11583|11591) pub9  40% (13 samples sent)
(11583|11591) pub9  50% (16 samples sent)
(11583|11591) pub9  62% (20 samples sent)
(11583|11591) pub9  71% (23 samples sent)
(11583|11591) pub9  81% (26 samples sent)
(11583|11591) pub9  90% (29 samples sent)
(11583|11595) pub2  writer id: 0103000c.297a35f2.2d3fee29.00000002(5412b4af)
(11583|11595) pub2->started
(11583|11595) pub2  12% (4 samples sent)
(11583|11595) pub2  21% (7 samples sent)
(11583|11595) pub2  31% (10 samples sent)
(11583|11595) pub2  40% (13 samples sent)
(11583|11595) pub2  50% (16 samples sent)
(11583|11595) pub2  62% (20 samples sent)
(11583|11595) pub2  71% (23 samples sent)
(11583|11595) pub2  81% (26 samples sent)
(11583|11595) pub2  90% (29 samples sent)
(11583|11595) pub2  100% (32 samples sent)
(11583|11595) pub2->wait_match() before write for 0103000c.297a35f2.2d3fee29.00000002(5412b4af)
(11583|11602) pub12  writer id: 0103000c.297a35f2.2d3fee32.00000002(4322123c)
(11583|11602) pub12->started
(11583|11602) pub12  12% (4 samples sent)
(11583|11602) pub12  21% (7 samples sent)
(11583|11602) pub12  31% (10 samples sent)
(11583|11602) pub12  40% (13 samples sent)
(11583|11602) pub12  50% (16 samples sent)
(11583|11602) pub12  62% (20 samples sent)
(11583|11602) pub12  71% (23 samples sent)
(11583|11594) pub5  writer id: 0103000c.297a35f2.2d3fee2a.00000002(13b2ce7f)
(11583|11592) pub7  21% (7 samples sent)
(11583|11592) pub7  31% (10 samples sent)
(11583|11592) pub7  40% (13 samples sent)
(11583|11592) pub7  50% (16 samples sent)
(11583|11607) pub18->started
(11583|11607) pub18  12% (4 samples sent)
(11583|11607) pub18  21% (7 samples sent)
(11583|11607) pub18  31% (10 samples sent)
(11583|11607) pub18  40% (13 samples sent)
(11583|11607) pub18  50% (16 samples sent)
(11583|11599) pub3->wait_match() before write for 0103000c.297a35f2.2d3fee2e.00000002(e63268bf)
(11583|11607) pub18  62% (20 samples sent)
(11583|11590) pub1  12% (4 samples sent)
(11583|11590) pub1  21% (7 samples sent)
(11583|11590) pub1  31% (10 samples sent)
(11583|11590) pub1  40% (13 samples sent)
(11583|11590) pub1  50% (16 samples sent)
(11583|11590) pub1  62% (20 samples sent)
(11583|11597) pub6  writer id: 0103000c.297a35f2.2d3fee2c.00000002(9cf23bdf)
(11583|11620) pub30  writer id: 0103000c.297a35f2.2d3fee45.00000002(08d02ee3)
(11583|11620) pub30->started
(11583|11610) pub22->transport cfg_22
(11583|11598) pub10  writer id: 0103000c.297a35f2.2d3fee31.00000002(048268ec)
(11583|11598) pub10->started
(11583|11598) pub10  12% (4 samples sent)
(11583|11598) pub10  21% (7 samples sent)
(11583|11598) pub10  31% (10 samples sent)
(11583|11598) pub10  40% (13 samples sent)
(11583|11602) pub12  81% (26 samples sent)
(11583|11602) pub12  90% (29 samples sent)
(11583|11602) pub12  100% (32 samples sent)
(11583|11602) pub12->wait_match() before write for 0103000c.297a35f2.2d3fee32.00000002(4322123c)
(11583|11594) pub5->started
(11583|11592) pub7  62% (20 samples sent)
(11583|11592) pub7  71% (23 samples sent)
(11583|11592) pub7  81% (26 samples sent)
(11583|11592) pub7  90% (29 samples sent)
(11583|11592) pub7  100% (32 samples sent)
(11583|11592) pub7->wait_match() before write for 0103000c.297a35f2.2d3fee2d.00000002(a192126f)
(11583|11590) pub1  71% (23 samples sent)
(11583|11590) pub1  81% (26 samples sent)
(11583|11590) pub1  90% (29 samples sent)
(11583|11620) pub30  12% (4 samples sent)
(11583|11620) pub30  21% (7 samples sent)
(11583|11620) pub30  31% (10 samples sent)
(11583|11620) pub30  40% (13 samples sent)
(11583|11620) pub30  50% (16 samples sent)
(11583|11620) pub30  62% (20 samples sent)
(11583|11620) pub30  71% (23 samples sent)
(11583|11620) pub30  81% (26 samples sent)
(11583|11620) pub30  90% (29 samples sent)
(11583|11620) pub30  100% (32 samples sent)
(11583|11620) pub30->wait_match() before write for 0103000c.297a35f2.2d3fee45.00000002(08d02ee3)
(11583|11613) pub24  writer id: 0103000c.297a35f2.2d3fee3e.00000002(86d2ff3d)
(11583|11601) pub11  writer id: 0103000c.297a35f2.2d3fee33.00000002(7e423b8c)
(11583|11601) pub11->started
(11583|11601) pub11  12% (4 samples sent)
(11583|11601) pub11  21% (7 samples sent)
(11583|11601) pub11  31% (10 samples sent)
(11583|11601) pub11  40% (13 samples sent)
(11583|11601) pub11  50% (16 samples sent)
(11583|11601) pub11  62% (20 samples sent)
(11583|11604) pub16  writer id: 0103000c.297a35f2.2d3fee35.00000002(f102ce2c)
(11583|11591) pub9  100% (32 samples sent)
(11583|11591) pub9->wait_match() before write for 0103000c.297a35f2.2d3fee30.00000002(39e2415c)
(11583|11615) pub26  writer id: 0103000c.297a35f2.2d3fee40.00000002(c030a193)
(11583|11615) pub26->started
(11583|11615) pub26  12% (4 samples sent)
(11583|11615) pub26  21% (7 samples sent)
(11583|11615) pub26  31% (10 samples sent)
(11583|11615) pub26  40% (13 samples sent)
(11583|11615) pub26  50% (16 samples sent)
(11583|11598) pub10  50% (16 samples sent)
(11583|11598) pub10  62% (20 samples sent)
(11583|11598) pub10  71% (23 samples sent)
(11583|11598) pub10  81% (26 samples sent)
(11583|11598) pub10  90% (29 samples sent)
(11583|11598) pub10  100% (32 samples sent)
(11583|11598) pub10->wait_match() before write for 0103000c.297a35f2.2d3fee31.00000002(048268ec)
(11583|11594) pub5  12% (4 samples sent)
(11583|11594) pub5  21% (7 samples sent)
(11583|11594) pub5  31% (10 samples sent)
(11583|11594) pub5  40% (13 samples sent)
(11583|11594) pub5  50% (16 samples sent)
(11583|11594) pub5  62% (20 samples sent)
(11583|11594) pub5  71% (23 samples sent)
(11583|11594) pub5  81% (26 samples sent)
(11583|11594) pub5  90% (29 samples sent)
(11583|11594) pub5  100% (32 samples sent)
(11583|11594) pub5->wait_match() before write for 0103000c.297a35f2.2d3fee2a.00000002(13b2ce7f)
(11583|11607) pub18  71% (23 samples sent)
(11583|11590) pub1  100% (32 samples sent)
(11583|11590) pub1->wait_match() before write for 0103000c.297a35f2.2d3fee28.00000002(69729d1f)
(11583|11614) pub25  writer id: 0103000c.297a35f2.2d3fee41.00000002(fd508823)
(11583|11605) pub15  writer id: 0103000c.297a35f2.2d3fee36.00000002(b6a2b4fc)
(11583|11605) pub15->started
(11583|11605) pub15  12% (4 samples sent)
(11583|11605) pub15  21% (7 samples sent)
(11583|11605) pub15  31% (10 samples sent)
(11583|11605) pub15  40% (13 samples sent)
(11583|11605) pub15  50% (16 samples sent)
(11583|11605) pub15  62% (20 samples sent)
(11583|11605) pub15  71% (23 samples sent)
(11583|11605) pub15  81% (26 samples sent)
(11583|11605) pub15  90% (29 samples sent)
(11583|11605) pub15  100% (32 samples sent)
(11583|11605) pub15->wait_match() before write for 0103000c.297a35f2.2d3fee36.00000002(b6a2b4fc)
(11583|11613) pub24->started
(11583|11613) pub24  12% (4 samples sent)
(11583|11601) pub11  71% (23 samples sent)
(11583|11613) pub24  21% (7 samples sent)
(11583|11601) pub11  81% (26 samples sent)
(11583|11613) pub24  31% (10 samples sent)
(11583|11601) pub11  90% (29 samples sent)
(11583|11613) pub24  40% (13 samples sent)
(11583|11604) pub16->started
(11583|11604) pub16  12% (4 samples sent)
(11583|11604) pub16  21% (7 samples sent)
(11583|11607) pub18  81% (26 samples sent)
(11583|11607) pub18  90% (29 samples sent)
(11583|11607) pub18  100% (32 samples sent)
(11583|11607) pub18->wait_match() before write for 0103000c.297a35f2.2d3fee38.00000002(09920a9d)
(11583|11612) pub23  writer id: 0103000c.297a35f2.2d3fee3f.00000002(bbb2d68d)
(11583|11612) pub23->started
(11583|11612) pub23  12% (4 samples sent)
(11583|11618) pub29  writer id: 0103000c.297a35f2.2d3fee44.00000002(35b00753)
(11583|11618) pub29->started
(11583|11611) pub21  writer id: 0103000c.297a35f2.2d3fee3c.00000002(fc12ac5d)
(11583|11611) pub21->started
(11583|11611) pub21  12% (4 samples sent)
(11583|11611) pub21  21% (7 samples sent)
(11583|11611) pub21  31% (10 samples sent)
(11583|11611) pub21  40% (13 samples sent)
(11583|11611) pub21  50% (16 samples sent)
(11583|11611) pub21  62% (20 samples sent)
(11583|11611) pub21  71% (23 samples sent)
(11583|11611) pub21  81% (26 samples sent)
(11583|11611) pub21  90% (29 samples sent)
(11583|11611) pub21  100% (32 samples sent)
(11583|11611) pub21->wait_match() before write for 0103000c.297a35f2.2d3fee3c.00000002(fc12ac5d)
(11583|11615) pub26  62% (20 samples sent)
(11583|11615) pub26  71% (23 samples sent)
(11583|11615) pub26  81% (26 samples sent)
(11583|11613) pub24  50% (16 samples sent)
(11583|11613) pub24  62% (20 samples sent)
(11583|11613) pub24  71% (23 samples sent)
(11583|11613) pub24  81% (26 samples sent)
(11583|11613) pub24  90% (29 samples sent)
(11583|11613) pub24  100% (32 samples sent)
(11583|11613) pub24->wait_match() before write for 0103000c.297a35f2.2d3fee3e.00000002(86d2ff3d)
(11583|11616) pub27  writer id: 0103000c.297a35f2.2d3fee42.00000002(baf0f2f3)
(11583|11616) pub27->started
(11583|11616) pub27  12% (4 samples sent)
(11583|11609) pub20  writer id: 0103000c.297a35f2.2d3fee3a.00000002(735259fd)
(11583|11609) pub20->started
(11583|11609) pub20  12% (4 samples sent)
(11583|11609) pub20  21% (7 samples sent)
(11583|11609) pub20  31% (10 samples sent)
(11583|11609) pub20  40% (13 samples sent)
(11583|11609) pub20  50% (16 samples sent)
(11583|11609) pub20  62% (20 samples sent)
(11583|11609) pub20  71% (23 samples sent)
(11583|11609) pub20  81% (26 samples sent)
(11583|11609) pub20  90% (29 samples sent)
(11583|11609) pub20  100% (32 samples sent)
(11583|11609) pub20->wait_match() before write for 0103000c.297a35f2.2d3fee3a.00000002(735259fd)
(11583|11618) pub29  12% (4 samples sent)
(11583|11618) pub29  21% (7 samples sent)
(11583|11618) pub29  31% (10 samples sent)
(11583|11618) pub29  40% (13 samples sent)
(11583|11618) pub29  50% (16 samples sent)
(11583|11618) pub29  62% (20 samples sent)
(11583|11618) pub29  71% (23 samples sent)
(11583|11618) pub29  81% (26 samples sent)
(11583|11601) pub11  100% (32 samples sent)
(11583|11601) pub11->wait_match() before write for 0103000c.297a35f2.2d3fee33.00000002(7e423b8c)
(11583|11608) pub19  writer id: 0103000c.297a35f2.2d3fee3b.00000002(4e32704d)
(11583|11608) pub19->started
(11583|11608) pub19  12% (4 samples sent)
(11583|11603) pub14  writer id: 0103000c.297a35f2.2d3fee34.00000002(cc62e79c)
(11583|11603) pub14->started
(11583|11603) pub14  12% (4 samples sent)
(11583|11603) pub14  21% (7 samples sent)
(11583|11604) pub16  31% (10 samples sent)
(11583|11604) pub16  40% (13 samples sent)
(11583|11604) pub16  50% (16 samples sent)
(11583|11604) pub16  62% (20 samples sent)
(11583|11604) pub16  71% (23 samples sent)
(11583|11604) pub16  81% (26 samples sent)
(11583|11604) pub16  90% (29 samples sent)
(11583|11604) pub16  100% (32 samples sent)
(11583|11604) pub16->wait_match() before write for 0103000c.297a35f2.2d3fee35.00000002(f102ce2c)
(11583|11616) pub27  21% (7 samples sent)
(11583|11600) pub13  writer id: 0103000c.297a35f2.2d3fee39.00000002(34f2232d)
(11583|11600) pub13->started
(11583|11597) pub6->started
(11583|11612) pub23  21% (7 samples sent)
(11583|11612) pub23  31% (10 samples sent)
(11583|11612) pub23  40% (13 samples sent)
(11583|11612) pub23  50% (16 samples sent)
(11583|11612) pub23  62% (20 samples sent)
(11583|11612) pub23  71% (23 samples sent)
(11583|11612) pub23  81% (26 samples sent)
(11583|11612) pub23  90% (29 samples sent)
(11583|11612) pub23  100% (32 samples sent)
(11583|11612) pub23->wait_match() before write for 0103000c.297a35f2.2d3fee3f.00000002(bbb2d68d)
(11583|11614) pub25->started
(11583|11618) pub29  90% (29 samples sent)
(11583|11614) pub25  12% (4 samples sent)
(11583|11618) pub29  100% (32 samples sent)
(11583|11618) pub29->wait_match() before write for 0103000c.297a35f2.2d3fee44.00000002(35b00753)
(11583|11614) pub25  21% (7 samples sent)
(11583|11614) pub25  31% (10 samples sent)
(11583|11614) pub25  40% (13 samples sent)
(11583|11614) pub25  50% (16 samples sent)
(11583|11614) pub25  62% (20 samples sent)
(11583|11614) pub25  71% (23 samples sent)
(11583|11614) pub25  81% (26 samples sent)
(11583|11617) pub28  writer id: 0103000c.297a35f2.2d3fee43.00000002(8790db43)
(11583|11617) pub28->started
(11583|11617) pub28  12% (4 samples sent)
(11583|11617) pub28  21% (7 samples sent)
(11583|11617) pub28  31% (10 samples sent)
(11583|11617) pub28  40% (13 samples sent)
(11583|11617) pub28  50% (16 samples sent)
(11583|11617) pub28  62% (20 samples sent)
(11583|11617) pub28  71% (23 samples sent)
(11583|11608) pub19  21% (7 samples sent)
(11583|11608) pub19  31% (10 samples sent)
(11583|11615) pub26  90% (29 samples sent)
(11583|11603) pub14  31% (10 samples sent)
(11583|11603) pub14  40% (13 samples sent)
(11583|11603) pub14  50% (16 samples sent)
(11583|11603) pub14  62% (20 samples sent)
(11583|11603) pub14  71% (23 samples sent)
(11583|11603) pub14  81% (26 samples sent)
(11583|11603) pub14  90% (29 samples sent)
(11583|11603) pub14  100% (32 samples sent)
(11583|11603) pub14->wait_match() before write for 0103000c.297a35f2.2d3fee34.00000002(cc62e79c)
(11583|11600) pub13  12% (4 samples sent)
(11583|11597) pub6  12% (4 samples sent)
(11583|11600) pub13  21% (7 samples sent)
(11583|11597) pub6  21% (7 samples sent)
(11583|11600) pub13  31% (10 samples sent)
(11583|11600) pub13  40% (13 samples sent)
(11583|11597) pub6  31% (10 samples sent)
(11583|11600) pub13  50% (16 samples sent)
(11583|11597) pub6  40% (13 samples sent)
(11583|11600) pub13  62% (20 samples sent)
(11583|11597) pub6  50% (16 samples sent)
(11583|11597) pub6  62% (20 samples sent)
(11583|11597) pub6  71% (23 samples sent)
(11583|11597) pub6  81% (26 samples sent)
(11583|11597) pub6  90% (29 samples sent)
(11583|11614) pub25  90% (29 samples sent)
(11583|11614) pub25  100% (32 samples sent)
(11583|11614) pub25->wait_match() before write for 0103000c.297a35f2.2d3fee41.00000002(fd508823)
(11583|11610) pub22  writer id: 0103000c.297a35f2.2d3fee3d.00000002(c17285ed)
(11583|11610) pub22->started
(11583|11610) pub22  12% (4 samples sent)
(11583|11610) pub22  21% (7 samples sent)
(11583|11610) pub22  31% (10 samples sent)
(11583|11610) pub22  40% (13 samples sent)
(11583|11610) pub22  50% (16 samples sent)
(11583|11608) pub19  40% (13 samples sent)
(11583|11608) pub19  50% (16 samples sent)
(11583|11608) pub19  62% (20 samples sent)
(11583|11608) pub19  71% (23 samples sent)
(11583|11608) pub19  81% (26 samples sent)
(11583|11608) pub19  90% (29 samples sent)
(11583|11608) pub19  100% (32 samples sent)
(11583|11608) pub19->wait_match() before write for 0103000c.297a35f2.2d3fee3b.00000002(4e32704d)
(11583|11619) pub31  writer id: 0103000c.297a35f2.2d3fee46.00000002(4f705433)
(11583|11619) pub31->started
(11583|11615) pub26  100% (32 samples sent)
(11583|11615) pub26->wait_match() before write for 0103000c.297a35f2.2d3fee40.00000002(c030a193)
(11583|11616) pub27  31% (10 samples sent)
(11583|11600) pub13  71% (23 samples sent)
(11583|11616) pub27  40% (13 samples sent)
(11583|11600) pub13  81% (26 samples sent)
(11583|11616) pub27  50% (16 samples sent)
(11583|11600) pub13  90% (29 samples sent)
(11583|11616) pub27  62% (20 samples sent)
(11583|11616) pub27  71% (23 samples sent)
(11583|11600) pub13  100% (32 samples sent)
(11583|11600) pub13->wait_match() before write for 0103000c.297a35f2.2d3fee39.00000002(34f2232d)
(11583|11616) pub27  81% (26 samples sent)
(11583|11616) pub27  90% (29 samples sent)
(11583|11616) pub27  100% (32 samples sent)
(11583|11616) pub27->wait_match() before write for 0103000c.297a35f2.2d3fee42.00000002(baf0f2f3)
(11583|11617) pub28  81% (26 samples sent)
(11583|11617) pub28  90% (29 samples sent)
(11583|11617) pub28  100% (32 samples sent)
(11583|11617) pub28->wait_match() before write for 0103000c.297a35f2.2d3fee43.00000002(8790db43)
(11583|11597) pub6  100% (32 samples sent)
(11583|11597) pub6->wait_match() before write for 0103000c.297a35f2.2d3fee2c.00000002(9cf23bdf)
(11583|11610) pub22  62% (20 samples sent)
(11583|11610) pub22  71% (23 samples sent)
(11583|11610) pub22  81% (26 samples sent)
(11583|11619) pub31  12% (4 samples sent)
(11583|11619) pub31  21% (7 samples sent)
(11583|11619) pub31  31% (10 samples sent)
(11583|11619) pub31  40% (13 samples sent)
(11583|11610) pub22  90% (29 samples sent)
(11583|11610) pub22  100% (32 samples sent)
(11583|11610) pub22->wait_match() before write for 0103000c.297a35f2.2d3fee3d.00000002(c17285ed)
(11583|11619) pub31  50% (16 samples sent)
(11583|11619) pub31  62% (20 samples sent)
(11583|11619) pub31  71% (23 samples sent)
(11583|11619) pub31  81% (26 samples sent)
(11583|11619) pub31  90% (29 samples sent)
(11583|11619) pub31  100% (32 samples sent)
(11583|11619) pub31->wait_match() before write for 0103000c.297a35f2.2d3fee46.00000002(4f705433)
(11583|11613) pub24<-match found! before write for 0103000c.297a35f2.2d3fee3e.00000002(86d2ff3d)
(11583|11613) pub24  waiting for acks
(11583|11608) pub19<-match found! before write for 0103000c.297a35f2.2d3fee3b.00000002(4e32704d)
(11583|11608) pub19  waiting for acks
(11583|11608) pub19  waiting for acks returned
(11583|11608) pub19<-delete_contained_entities
(11583|11613) pub24  waiting for acks returned
(11583|11613) pub24<-delete_contained_entities
(11583|11608) pub19<-delete_participant
(11583|11613) pub24<-delete_participant
(11583|11605) pub15<-match found! before write for 0103000c.297a35f2.2d3fee36.00000002(b6a2b4fc)
(11583|11605) pub15  waiting for acks
(11583|11612) pub23<-match found! before write for 0103000c.297a35f2.2d3fee3f.00000002(bbb2d68d)
(11583|11612) pub23  waiting for acks
(11583|11601) pub11<-match found! before write for 0103000c.297a35f2.2d3fee33.00000002(7e423b8c)
(11583|11601) pub11  waiting for acks
(11583|11604) pub16<-match found! before write for 0103000c.297a35f2.2d3fee35.00000002(f102ce2c)
(11583|11604) pub16  waiting for acks
(11583|11591) pub9<-match found! before write for 0103000c.297a35f2.2d3fee30.00000002(39e2415c)
(11583|11591) pub9  waiting for acks
(11583|11598) pub10<-match found! before write for 0103000c.297a35f2.2d3fee31.00000002(048268ec)
(11583|11598) pub10  waiting for acks
(11583|11602) pub12<-match found! before write for 0103000c.297a35f2.2d3fee32.00000002(4322123c)
(11583|11602) pub12  waiting for acks
(11583|11618) pub29<-match found! before write for 0103000c.297a35f2.2d3fee44.00000002(35b00753)
(11583|11618) pub29  waiting for acks
(11583|11611) pub21<-match found! before write for 0103000c.297a35f2.2d3fee3c.00000002(fc12ac5d)
(11583|11611) pub21  waiting for acks
(11583|11617) pub28<-match found! before write for 0103000c.297a35f2.2d3fee43.00000002(8790db43)
(11583|11617) pub28  waiting for acks
(11583|11591) pub9  waiting for acks returned
(11583|11591) pub9<-delete_contained_entities
(11583|11593) pub8<-match found! before write for 0103000c.297a35f2.2d3fee2f.00000002(db52410f)
(11583|11593) pub8  waiting for acks
(11583|11617) pub28  waiting for acks returned
(11583|11617) pub28<-delete_contained_entities
(11583|11591) pub9<-delete_participant
(11583|11605) pub15  waiting for acks returned
(11583|11605) pub15<-delete_contained_entities
(11583|11589) pub0<-match found! before write for 0103000c.297a35f2.2d3fee27.00000002(eb220ace)
(11583|11589) pub0  waiting for acks
(11583|11612) pub23  waiting for acks returned
(11583|11612) pub23<-delete_contained_entities
(11583|11601) pub11  waiting for acks returned
(11583|11601) pub11<-delete_contained_entities
(11583|11596) pub4<-match found! before write for 0103000c.297a35f2.2d3fee2b.00000002(2ed2e7cf)
(11583|11596) pub4  waiting for acks
(11583|11595) pub2<-match found! before write for 0103000c.297a35f2.2d3fee29.00000002(5412b4af)
(11583|11595) pub2  waiting for acks
(11583|11590) pub1<-match found! before write for 0103000c.297a35f2.2d3fee28.00000002(69729d1f)
(11583|11590) pub1  waiting for acks
(11583|11617) pub28<-delete_participant
(11583|11619) pub31<-match found! before write for 0103000c.297a35f2.2d3fee46.00000002(4f705433)
(11583|11619) pub31  waiting for acks
(11583|11605) pub15<-delete_participant
(11583|11594) pub5<-match found! before write for 0103000c.297a35f2.2d3fee2a.00000002(13b2ce7f)
(11583|11594) pub5  waiting for acks
(11583|11589) pub0  waiting for acks returned
(11583|11589) pub0<-delete_contained_entities
(11583|11596) pub4  waiting for acks returned
(11583|11596) pub4<-delete_contained_entities
(11583|11604) pub16  waiting for acks returned
(11583|11604) pub16<-delete_contained_entities
(11583|11611) pub21  waiting for acks returned
(11583|11611) pub21<-delete_contained_entities
(11583|11615) pub26<-match found! before write for 0103000c.297a35f2.2d3fee40.00000002(c030a193)
(11583|11615) pub26  waiting for acks
(11583|11612) pub23<-delete_participant
(11583|11601) pub11<-delete_participant
(11583|11599) pub3<-match found! before write for 0103000c.297a35f2.2d3fee2e.00000002(e63268bf)
(11583|11599) pub3  waiting for acks
(11583|11619) pub31  waiting for acks returned
(11583|11619) pub31<-delete_contained_entities
(11583|11602) pub12  waiting for acks returned
(11583|11602) pub12<-delete_contained_entities
(11583|11593) pub8  waiting for acks returned
(11583|11593) pub8<-delete_contained_entities
(11583|11592) pub7<-match found! before write for 0103000c.297a35f2.2d3fee2d.00000002(a192126f)
(11583|11592) pub7  waiting for acks
(11583|11598) pub10  waiting for acks returned
(11583|11598) pub10<-delete_contained_entities
(11583|11609) pub20<-match found! before write for 0103000c.297a35f2.2d3fee3a.00000002(735259fd)
(11583|11609) pub20  waiting for acks
(11583|11600) pub13<-match found! before write for 0103000c.297a35f2.2d3fee39.00000002(34f2232d)
(11583|11600) pub13  waiting for acks
(11583|11618) pub29  waiting for acks returned
(11583|11618) pub29<-delete_contained_entities
(11583|11589) pub0<-delete_participant
(11583|11596) pub4<-delete_participant
(11583|11599) pub3  waiting for acks returned
(11583|11599) pub3<-delete_contained_entities
(11583|11604) pub16<-delete_participant
(11583|11603) pub14<-match found! before write for 0103000c.297a35f2.2d3fee34.00000002(cc62e79c)
(11583|11603) pub14  waiting for acks
(11583|11611) pub21<-delete_participant
(11583|11614) pub25<-match found! before write for 0103000c.297a35f2.2d3fee41.00000002(fd508823)
(11583|11614) pub25  waiting for acks
(11583|11619) pub31<-delete_participant
(11583|11592) pub7  waiting for acks returned
(11583|11592) pub7<-delete_contained_entities
(11583|11609) pub20  waiting for acks returned
(11583|11609) pub20<-delete_contained_entities
(11583|11600) pub13  waiting for acks returned
(11583|11600) pub13<-delete_contained_entities
(11583|11610) pub22<-match found! before write for 0103000c.297a35f2.2d3fee3d.00000002(c17285ed)
(11583|11610) pub22  waiting for acks
(11583|11602) pub12<-delete_participant
(11583|11606) pub17<-match found! before write for 0103000c.297a35f2.2d3fee37.00000002(8bc29d4c)
(11583|11606) pub17  waiting for acks
(11583|11590) pub1  waiting for acks returned
(11583|11590) pub1<-delete_contained_entities
(11583|11593) pub8<-delete_participant
(11583|11597) pub6<-match found! before write for 0103000c.297a35f2.2d3fee2c.00000002(9cf23bdf)
(11583|11597) pub6  waiting for acks
(11583|11620) pub30<-match found! before write for 0103000c.297a35f2.2d3fee45.00000002(08d02ee3)
(11583|11620) pub30  waiting for acks
(11583|11598) pub10<-delete_participant
(11583|11607) pub18<-match found! before write for 0103000c.297a35f2.2d3fee38.00000002(09920a9d)
(11583|11607) pub18  waiting for acks
(11583|11594) pub5  waiting for acks returned
(11583|11594) pub5<-delete_contained_entities
(11583|11618) pub29<-delete_participant
(11583|11616) pub27<-match found! before write for 0103000c.297a35f2.2d3fee42.00000002(baf0f2f3)
(11583|11616) pub27  waiting for acks
(11583|11595) pub2  waiting for acks returned
(11583|11595) pub2<-delete_contained_entities
(11583|11603) pub14  waiting for acks returned
(11583|11603) pub14<-delete_contained_entities
(11583|11614) pub25  waiting for acks returned
(11583|11614) pub25<-delete_contained_entities
(11583|11599) pub3<-delete_participant
(11583|11610) pub22  waiting for acks returned
(11583|11610) pub22<-delete_contained_entities
(11583|11588) sub condition_.notify_all
(11583|11583) sub condition_.wait returned
(11583|11583) sub check_received
(11583|11583) sub check_received returns 0
(11583|11583) <- PublisherService::end
(11583|11592) pub7<-delete_participant
(11583|11606) pub17  waiting for acks returned
(11583|11606) pub17<-delete_contained_entities
(11583|11597) pub6  waiting for acks returned
(11583|11597) pub6<-delete_contained_entities
(11583|11615) pub26  waiting for acks returned
(11583|11615) pub26<-delete_contained_entities
(11583|11620) pub30  waiting for acks returned
(11583|11620) pub30<-delete_contained_entities
(11583|11607) pub18  waiting for acks returned
(11583|11607) pub18<-delete_contained_entities
(11583|11609) pub20<-delete_participant
(11583|11600) pub13<-delete_participant
(11583|11590) pub1<-delete_participant
(11583|11616) pub27  waiting for acks returned
(11583|11616) pub27<-delete_contained_entities
(11583|11594) pub5<-delete_participant
(11583|11595) pub2<-delete_participant
(11583|11603) pub14<-delete_participant
(11583|11614) pub25<-delete_participant
(11583|11610) pub22<-delete_participant
(11583|11606) pub17<-delete_participant
(11583|11597) pub6<-delete_participant
(11583|11615) pub26<-delete_participant
(11583|11620) pub30<-delete_participant
(11583|11607) pub18<-delete_participant
(11583|11616) pub27<-delete_participant
(11583|11583) <- PublisherService::~PublisherService
(11583|11583) <- Subscriber delete_contained_entities
(11583|11583) <- Subscriber delete_participant
(11583|11583) <- Subscriber::~Subscriber
(11583|11583) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl high rtps durable Time:19s Result:0

==============================================================================

tests/DCPS/Thrasher/run_test.pl aggressive rtps durable #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Thrasher/Thrasher -t 64 -s 16 -n 1024 -d -DCPSConfigFile thrasher_rtps.ini -DCPSPendingTimeout 3 
Thrasher PID: 11758 started at 2023-04-19 14:19:43
(11758|11758) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(11758|11758) -> Thrasher started
(11758|11758) -> Subscriber::Subscriber
(11758|11758)    Subscriber reader id: 0103000c.297a35f2.2deeec36.00000007(86b4e989)
(11758|11758) -> PublisherService::PublisherService
(11758|11758) -> PublisherService::start (64 threads)
(11758|11758) sub wait_received 0:64
(11758|11774) pub10->transport cfg_10
(11758|11769) pub5->transport cfg_5
(11758|11766) pub1->transport cfg_1
(11758|11764) pub0->transport cfg_0
(11758|11768) pub3->transport cfg_3
(11758|11770) pub6->transport cfg_6
(11758|11765) pub2->transport cfg_2
(11758|11767) pub4->transport cfg_4
(11758|11771) pub7->transport cfg_7
(11758|11772) pub8->transport cfg_8
(11758|11765) pub2  writer id: 0103000c.297a35f2.2deeec39.00000002(748e8ad7)
(11758|11765) pub2->started
(11758|11774) pub10  writer id: 0103000c.297a35f2.2deeec41.00000002(bd2c21d9)
(11758|11774) pub10->started
(11758|11774) pub10  12% (2 samples sent)
(11758|11774) pub10  25% (4 samples sent)
(11758|11774) pub10  31% (5 samples sent)
(11758|11774) pub10  43% (7 samples sent)
(11758|11774) pub10  50% (8 samples sent)
(11758|11774) pub10  62% (10 samples sent)
(11758|11774) pub10  75% (12 samples sent)
(11758|11774) pub10  81% (13 samples sent)
(11758|11774) pub10  93% (15 samples sent)
(11758|11774) pub10  100% (16 samples sent)
(11758|11774) pub10->wait_match() before write for 0103000c.297a35f2.2deeec41.00000002(bd2c21d9)
(11758|11769) pub5  writer id: 0103000c.297a35f2.2deeec3c.00000002(bc6e05a7)
(11758|11769) pub5->started
(11758|11765) pub2  12% (2 samples sent)
(11758|11765) pub2  25% (4 samples sent)
(11758|11765) pub2  31% (5 samples sent)
(11758|11765) pub2  43% (7 samples sent)
(11758|11765) pub2  50% (8 samples sent)
(11758|11765) pub2  62% (10 samples sent)
(11758|11765) pub2  75% (12 samples sent)
(11758|11765) pub2  81% (13 samples sent)
(11758|11765) pub2  93% (15 samples sent)
(11758|11765) pub2  100% (16 samples sent)
(11758|11765) pub2->wait_match() before write for 0103000c.297a35f2.2deeec39.00000002(748e8ad7)
(11758|11769) pub5  12% (2 samples sent)
(11758|11769) pub5  25% (4 samples sent)
(11758|11769) pub5  31% (5 samples sent)
(11758|11769) pub5  43% (7 samples sent)
(11758|11766) pub1  writer id: 0103000c.297a35f2.2deeec38.00000002(49eea367)
(11758|11766) pub1->started
(11758|11766) pub1  12% (2 samples sent)
(11758|11766) pub1  25% (4 samples sent)
(11758|11766) pub1  31% (5 samples sent)
(11758|11766) pub1  43% (7 samples sent)
(11758|11766) pub1  50% (8 samples sent)
(11758|11766) pub1  62% (10 samples sent)
(11758|11766) pub1  75% (12 samples sent)
(11758|11766) pub1  81% (13 samples sent)
(11758|11766) pub1  93% (15 samples sent)
(11758|11766) pub1  100% (16 samples sent)
(11758|11766) pub1->wait_match() before write for 0103000c.297a35f2.2deeec38.00000002(49eea367)
(11758|11769) pub5  50% (8 samples sent)
(11758|11769) pub5  62% (10 samples sent)
(11758|11769) pub5  75% (12 samples sent)
(11758|11769) pub5  81% (13 samples sent)
(11758|11769) pub5  93% (15 samples sent)
(11758|11769) pub5  100% (16 samples sent)
(11758|11769) pub5->wait_match() before write for 0103000c.297a35f2.2deeec3c.00000002(bc6e05a7)
(11758|11764) pub0  writer id: 0103000c.297a35f2.2deeec37.00000002(cbbe34b6)
(11758|11764) pub0->started
(11758|11764) pub0  12% (2 samples sent)
(11758|11764) pub0  25% (4 samples sent)
(11758|11764) pub0  31% (5 samples sent)
(11758|11764) pub0  43% (7 samples sent)
(11758|11764) pub0  50% (8 samples sent)
(11758|11767) pub4  writer id: 0103000c.297a35f2.2deeec3b.00000002(0e4ed9b7)
(11758|11767) pub4->started
(11758|11767) pub4  12% (2 samples sent)
(11758|11767) pub4  25% (4 samples sent)
(11758|11767) pub4  31% (5 samples sent)
(11758|11767) pub4  43% (7 samples sent)
(11758|11767) pub4  50% (8 samples sent)
(11758|11767) pub4  62% (10 samples sent)
(11758|11767) pub4  75% (12 samples sent)
(11758|11767) pub4  81% (13 samples sent)
(11758|11767) pub4  93% (15 samples sent)
(11758|11767) pub4  100% (16 samples sent)
(11758|11767) pub4->wait_match() before write for 0103000c.297a35f2.2deeec3b.00000002(0e4ed9b7)
(11758|11764) pub0  62% (10 samples sent)
(11758|11770) pub6  writer id: 0103000c.297a35f2.2deeec3d.00000002(810e2c17)
(11758|11770) pub6->started
(11758|11770) pub6  12% (2 samples sent)
(11758|11770) pub6  25% (4 samples sent)
(11758|11770) pub6  31% (5 samples sent)
(11758|11770) pub6  43% (7 samples sent)
(11758|11770) pub6  50% (8 samples sent)
(11758|11770) pub6  62% (10 samples sent)
(11758|11770) pub6  75% (12 samples sent)
(11758|11770) pub6  81% (13 samples sent)
(11758|11770) pub6  93% (15 samples sent)
(11758|11770) pub6  100% (16 samples sent)
(11758|11770) pub6->wait_match() before write for 0103000c.297a35f2.2deeec3d.00000002(810e2c17)
(11758|11764) pub0  75% (12 samples sent)
(11758|11764) pub0  81% (13 samples sent)
(11758|11764) pub0  93% (15 samples sent)
(11758|11764) pub0  100% (16 samples sent)
(11758|11764) pub0->wait_match() before write for 0103000c.297a35f2.2deeec37.00000002(cbbe34b6)
(11758|11768) pub3  writer id: 0103000c.297a35f2.2deeec3a.00000002(332ef007)
(11758|11772) pub8  writer id: 0103000c.297a35f2.2deeec3f.00000002(fbce7f77)
(11758|11772) pub8->started
(11758|11772) pub8  12% (2 samples sent)
(11758|11772) pub8  25% (4 samples sent)
(11758|11772) pub8  31% (5 samples sent)
(11758|11772) pub8  43% (7 samples sent)
(11758|11772) pub8  50% (8 samples sent)
(11758|11772) pub8  62% (10 samples sent)
(11758|11772) pub8  75% (12 samples sent)
(11758|11772) pub8  81% (13 samples sent)
(11758|11772) pub8  93% (15 samples sent)
(11758|11772) pub8  100% (16 samples sent)
(11758|11772) pub8->wait_match() before write for 0103000c.297a35f2.2deeec3f.00000002(fbce7f77)
(11758|11768) pub3->started
(11758|11768) pub3  12% (2 samples sent)
(11758|11768) pub3  25% (4 samples sent)
(11758|11768) pub3  31% (5 samples sent)
(11758|11768) pub3  43% (7 samples sent)
(11758|11768) pub3  50% (8 samples sent)
(11758|11768) pub3  62% (10 samples sent)
(11758|11768) pub3  75% (12 samples sent)
(11758|11768) pub3  81% (13 samples sent)
(11758|11768) pub3  93% (15 samples sent)
(11758|11768) pub3  100% (16 samples sent)
(11758|11768) pub3->wait_match() before write for 0103000c.297a35f2.2deeec3a.00000002(332ef007)
(11758|11771) pub7  writer id: 0103000c.297a35f2.2deeec3e.00000002(c6ae56c7)
(11758|11771) pub7->started
(11758|11771) pub7  12% (2 samples sent)
(11758|11771) pub7  25% (4 samples sent)
(11758|11771) pub7  31% (5 samples sent)
(11758|11771) pub7  43% (7 samples sent)
(11758|11771) pub7  50% (8 samples sent)
(11758|11771) pub7  62% (10 samples sent)
(11758|11771) pub7  75% (12 samples sent)
(11758|11771) pub7  81% (13 samples sent)
(11758|11771) pub7  93% (15 samples sent)
(11758|11771) pub7  100% (16 samples sent)
(11758|11771) pub7->wait_match() before write for 0103000c.297a35f2.2deeec3e.00000002(c6ae56c7)
(11758|11780) pub16->transport cfg_16
(11758|11773) pub9->transport cfg_9
(11758|11777) pub13->transport cfg_13
(11758|11784) pub20->transport cfg_20
(11758|11775) pub11->transport cfg_11
(11758|11781) pub17->transport cfg_17
(11758|11789) pub26->transport cfg_26
(11758|11797) pub33->transport cfg_33
(11758|11778) pub12->transport cfg_12
(11758|11787) pub23->transport cfg_23
(11758|11779) pub15->transport cfg_15
(11758|11826) pub56->transport cfg_56
(11758|11783) pub19->transport cfg_19
(11758|11776) pub14->transport cfg_14
(11758|11786) pub22->transport cfg_22
(11758|11780) pub16  writer id: 0103000c.297a35f2.2deeec46.00000002(0f0cfdc9)
(11758|11780) pub16->started
(11758|11780) pub16  12% (2 samples sent)
(11758|11780) pub16  25% (4 samples sent)
(11758|11780) pub16  31% (5 samples sent)
(11758|11780) pub16  43% (7 samples sent)
(11758|11780) pub16  50% (8 samples sent)
(11758|11780) pub16  62% (10 samples sent)
(11758|11780) pub16  75% (12 samples sent)
(11758|11780) pub16  81% (13 samples sent)
(11758|11780) pub16  93% (15 samples sent)
(11758|11780) pub16  100% (16 samples sent)
(11758|11780) pub16->wait_match() before write for 0103000c.297a35f2.2deeec46.00000002(0f0cfdc9)
(11758|11801) pub36->transport cfg_36
(11758|11810) pub43->transport cfg_43
(11758|11788) pub24->transport cfg_24
(11758|11797) pub33  writer id: 0103000c.297a35f2.2deeec57.00000002(528c43fb)
(11758|11797) pub33->started
(11758|11790) pub25->transport cfg_25
(11758|11777) pub13  writer id: 0103000c.297a35f2.2deeec43.00000002(c7ec72b9)
(11758|11777) pub13->started
(11758|11777) pub13  12% (2 samples sent)
(11758|11773) pub9  writer id: 0103000c.297a35f2.2deeec40.00000002(804c0869)
(11758|11773) pub9->started
(11758|11797) pub33  12% (2 samples sent)
(11758|11797) pub33  25% (4 samples sent)
(11758|11797) pub33  31% (5 samples sent)
(11758|11797) pub33  43% (7 samples sent)
(11758|11797) pub33  50% (8 samples sent)
(11758|11797) pub33  62% (10 samples sent)
(11758|11797) pub33  75% (12 samples sent)
(11758|11797) pub33  81% (13 samples sent)
(11758|11797) pub33  93% (15 samples sent)
(11758|11797) pub33  100% (16 samples sent)
(11758|11797) pub33->wait_match() before write for 0103000c.297a35f2.2deeec57.00000002(528c43fb)
(11758|11782) pub18->transport cfg_18
(11758|11791) pub27->transport cfg_27
(11758|11781) pub17  writer id: 0103000c.297a35f2.2deeec48.00000002(b03c43a8)
(11758|11781) pub17->started
(11758|11781) pub17  12% (2 samples sent)
(11758|11781) pub17  25% (4 samples sent)
(11758|11781) pub17  31% (5 samples sent)
(11758|11781) pub17  43% (7 samples sent)
(11758|11781) pub17  50% (8 samples sent)
(11758|11781) pub17  62% (10 samples sent)
(11758|11781) pub17  75% (12 samples sent)
(11758|11781) pub17  81% (13 samples sent)
(11758|11781) pub17  93% (15 samples sent)
(11758|11781) pub17  100% (16 samples sent)
(11758|11781) pub17->wait_match() before write for 0103000c.297a35f2.2deeec48.00000002(b03c43a8)
(11758|11796) pub32->transport cfg_32
(11758|11777) pub13  25% (4 samples sent)
(11758|11777) pub13  31% (5 samples sent)
(11758|11777) pub13  43% (7 samples sent)
(11758|11777) pub13  50% (8 samples sent)
(11758|11777) pub13  62% (10 samples sent)
(11758|11777) pub13  75% (12 samples sent)
(11758|11777) pub13  81% (13 samples sent)
(11758|11777) pub13  93% (15 samples sent)
(11758|11777) pub13  100% (16 samples sent)
(11758|11777) pub13->wait_match() before write for 0103000c.297a35f2.2deeec43.00000002(c7ec72b9)
(11758|11775) pub11  writer id: 0103000c.297a35f2.2deeec42.00000002(fa8c5b09)
(11758|11775) pub11->started
(11758|11775) pub11  12% (2 samples sent)
(11758|11784) pub20  writer id: 0103000c.297a35f2.2deeec4b.00000002(f79c3978)
(11758|11784) pub20->started
(11758|11784) pub20  12% (2 samples sent)
(11758|11784) pub20  25% (4 samples sent)
(11758|11784) pub20  31% (5 samples sent)
(11758|11784) pub20  43% (7 samples sent)
(11758|11784) pub20  50% (8 samples sent)
(11758|11784) pub20  62% (10 samples sent)
(11758|11784) pub20  75% (12 samples sent)
(11758|11784) pub20  81% (13 samples sent)
(11758|11784) pub20  93% (15 samples sent)
(11758|11784) pub20  100% (16 samples sent)
(11758|11784) pub20->wait_match() before write for 0103000c.297a35f2.2deeec4b.00000002(f79c3978)
(11758|11773) pub9  12% (2 samples sent)
(11758|11809) pub42->transport cfg_42
(11758|11775) pub11  25% (4 samples sent)
(11758|11775) pub11  31% (5 samples sent)
(11758|11775) pub11  43% (7 samples sent)
(11758|11775) pub11  50% (8 samples sent)
(11758|11775) pub11  62% (10 samples sent)
(11758|11775) pub11  75% (12 samples sent)
(11758|11775) pub11  81% (13 samples sent)
(11758|11775) pub11  93% (15 samples sent)
(11758|11775) pub11  100% (16 samples sent)
(11758|11775) pub11->wait_match() before write for 0103000c.297a35f2.2deeec42.00000002(fa8c5b09)
(11758|11773) pub9  25% (4 samples sent)
(11758|11773) pub9  31% (5 samples sent)
(11758|11773) pub9  43% (7 samples sent)
(11758|11773) pub9  50% (8 samples sent)
(11758|11773) pub9  62% (10 samples sent)
(11758|11773) pub9  75% (12 samples sent)
(11758|11773) pub9  81% (13 samples sent)
(11758|11773) pub9  93% (15 samples sent)
(11758|11773) pub9  100% (16 samples sent)
(11758|11773) pub9->wait_match() before write for 0103000c.297a35f2.2deeec40.00000002(804c0869)
(11758|11785) pub21->transport cfg_21
(11758|11789) pub26  writer id: 0103000c.297a35f2.2deeec50.00000002(e0ac9feb)
(11758|11789) pub26->started
(11758|11789) pub26  12% (2 samples sent)
(11758|11789) pub26  25% (4 samples sent)
(11758|11789) pub26  31% (5 samples sent)
(11758|11789) pub26  43% (7 samples sent)
(11758|11789) pub26  50% (8 samples sent)
(11758|11789) pub26  62% (10 samples sent)
(11758|11789) pub26  75% (12 samples sent)
(11758|11789) pub26  81% (13 samples sent)
(11758|11789) pub26  93% (15 samples sent)
(11758|11789) pub26  100% (16 samples sent)
(11758|11789) pub26->wait_match() before write for 0103000c.297a35f2.2deeec50.00000002(e0ac9feb)
(11758|11826) pub56  writer id: 0103000c.297a35f2.2deeec6f.00000002(c3ddb0bc)
(11758|11826) pub56->started
(11758|11826) pub56  12% (2 samples sent)
(11758|11826) pub56  25% (4 samples sent)
(11758|11826) pub56  31% (5 samples sent)
(11758|11826) pub56  43% (7 samples sent)
(11758|11826) pub56  50% (8 samples sent)
(11758|11826) pub56  62% (10 samples sent)
(11758|11826) pub56  75% (12 samples sent)
(11758|11826) pub56  81% (13 samples sent)
(11758|11826) pub56  93% (15 samples sent)
(11758|11826) pub56  100% (16 samples sent)
(11758|11826) pub56->wait_match() before write for 0103000c.297a35f2.2deeec6f.00000002(c3ddb0bc)
(11758|11778) pub12  writer id: 0103000c.297a35f2.2deeec44.00000002(75ccaea9)
(11758|11778) pub12->started
(11758|11778) pub12  12% (2 samples sent)
(11758|11778) pub12  25% (4 samples sent)
(11758|11778) pub12  31% (5 samples sent)
(11758|11778) pub12  43% (7 samples sent)
(11758|11778) pub12  50% (8 samples sent)
(11758|11778) pub12  62% (10 samples sent)
(11758|11778) pub12  75% (12 samples sent)
(11758|11778) pub12  81% (13 samples sent)
(11758|11778) pub12  93% (15 samples sent)
(11758|11778) pub12  100% (16 samples sent)
(11758|11778) pub12->wait_match() before write for 0103000c.297a35f2.2deeec44.00000002(75ccaea9)
(11758|11776) pub14  writer id: 0103000c.297a35f2.2deeec45.00000002(48ac8719)
(11758|11776) pub14->started
(11758|11787) pub23  writer id: 0103000c.297a35f2.2deeec4f.00000002(021c9fb8)
(11758|11787) pub23->started
(11758|11787) pub23  12% (2 samples sent)
(11758|11787) pub23  25% (4 samples sent)
(11758|11787) pub23  31% (5 samples sent)
(11758|11787) pub23  43% (7 samples sent)
(11758|11787) pub23  50% (8 samples sent)
(11758|11787) pub23  62% (10 samples sent)
(11758|11787) pub23  75% (12 samples sent)
(11758|11787) pub23  81% (13 samples sent)
(11758|11787) pub23  93% (15 samples sent)
(11758|11787) pub23  100% (16 samples sent)
(11758|11779) pub15  writer id: 0103000c.297a35f2.2deeec47.00000002(326cd479)
(11758|11779) pub15->started
(11758|11798) pub34->transport cfg_34
(11758|11819) pub50->transport cfg_50
(11758|11783) pub19  writer id: 0103000c.297a35f2.2deeec4a.00000002(cafc10c8)
(11758|11783) pub19->started
(11758|11783) pub19  12% (2 samples sent)
(11758|11783) pub19  25% (4 samples sent)
(11758|11783) pub19  31% (5 samples sent)
(11758|11783) pub19  43% (7 samples sent)
(11758|11783) pub19  50% (8 samples sent)
(11758|11783) pub19  62% (10 samples sent)
(11758|11783) pub19  75% (12 samples sent)
(11758|11783) pub19  81% (13 samples sent)
(11758|11783) pub19  93% (15 samples sent)
(11758|11783) pub19  100% (16 samples sent)
(11758|11783) pub19->wait_match() before write for 0103000c.297a35f2.2deeec4a.00000002(cafc10c8)
(11758|11776) pub14  12% (2 samples sent)
(11758|11776) pub14  25% (4 samples sent)
(11758|11776) pub14  31% (5 samples sent)
(11758|11776) pub14  43% (7 samples sent)
(11758|11776) pub14  50% (8 samples sent)
(11758|11776) pub14  62% (10 samples sent)
(11758|11776) pub14  75% (12 samples sent)
(11758|11776) pub14  81% (13 samples sent)
(11758|11776) pub14  93% (15 samples sent)
(11758|11776) pub14  100% (16 samples sent)
(11758|11776) pub14->wait_match() before write for 0103000c.297a35f2.2deeec45.00000002(48ac8719)
(11758|11787) pub23->wait_match() before write for 0103000c.297a35f2.2deeec4f.00000002(021c9fb8)
(11758|11779) pub15  12% (2 samples sent)
(11758|11779) pub15  25% (4 samples sent)
(11758|11779) pub15  31% (5 samples sent)
(11758|11779) pub15  43% (7 samples sent)
(11758|11779) pub15  50% (8 samples sent)
(11758|11779) pub15  62% (10 samples sent)
(11758|11779) pub15  75% (12 samples sent)
(11758|11779) pub15  81% (13 samples sent)
(11758|11779) pub15  93% (15 samples sent)
(11758|11779) pub15  100% (16 samples sent)
(11758|11779) pub15->wait_match() before write for 0103000c.297a35f2.2deeec47.00000002(326cd479)
(11758|11786) pub22  writer id: 0103000c.297a35f2.2deeec4d.00000002(78dcccd8)
(11758|11786) pub22->started
(11758|11786) pub22  12% (2 samples sent)
(11758|11786) pub22  25% (4 samples sent)
(11758|11786) pub22  31% (5 samples sent)
(11758|11786) pub22  43% (7 samples sent)
(11758|11786) pub22  50% (8 samples sent)
(11758|11786) pub22  62% (10 samples sent)
(11758|11786) pub22  75% (12 samples sent)
(11758|11786) pub22  81% (13 samples sent)
(11758|11786) pub22  93% (15 samples sent)
(11758|11786) pub22  100% (16 samples sent)
(11758|11786) pub22->wait_match() before write for 0103000c.297a35f2.2deeec4d.00000002(78dcccd8)
(11758|11825) pub55->transport cfg_55
(11758|11822) pub52->transport cfg_52
(11758|11800) pub35->transport cfg_35
(11758|11795) pub31->transport cfg_31
(11758|11802) pub37->transport cfg_37
(11758|11801) pub36  writer id: 0103000c.297a35f2.2deeec5b.00000002(977caefa)
(11758|11801) pub36->started
(11758|11801) pub36  12% (2 samples sent)
(11758|11810) pub43  writer id: 0103000c.297a35f2.2deeec62.00000002(3b4d740d)
(11758|11810) pub43->started
(11758|11810) pub43  12% (2 samples sent)
(11758|11810) pub43  25% (4 samples sent)
(11758|11810) pub43  31% (5 samples sent)
(11758|11810) pub43  43% (7 samples sent)
(11758|11810) pub43  50% (8 samples sent)
(11758|11810) pub43  62% (10 samples sent)
(11758|11810) pub43  75% (12 samples sent)
(11758|11810) pub43  81% (13 samples sent)
(11758|11810) pub43  93% (15 samples sent)
(11758|11810) pub43  100% (16 samples sent)
(11758|11810) pub43->wait_match() before write for 0103000c.297a35f2.2deeec62.00000002(3b4d740d)
(11758|11792) pub28->transport cfg_28
(11758|11801) pub36  25% (4 samples sent)
(11758|11801) pub36  31% (5 samples sent)
(11758|11801) pub36  43% (7 samples sent)
(11758|11834) pub62->transport cfg_62
(11758|11803) pub38->transport cfg_38
(11758|11821) pub51->transport cfg_51
(11758|11807) pub40->transport cfg_40
(11758|11788) pub24  writer id: 0103000c.297a35f2.2deeec52.00000002(9a6ccc8b)
(11758|11788) pub24->started
(11758|11788) pub24  12% (2 samples sent)
(11758|11788) pub24  25% (4 samples sent)
(11758|11788) pub24  31% (5 samples sent)
(11758|11788) pub24  43% (7 samples sent)
(11758|11788) pub24  50% (8 samples sent)
(11758|11788) pub24  62% (10 samples sent)
(11758|11788) pub24  75% (12 samples sent)
(11758|11788) pub24  81% (13 samples sent)
(11758|11788) pub24  93% (15 samples sent)
(11758|11788) pub24  100% (16 samples sent)
(11758|11788) pub24->wait_match() before write for 0103000c.297a35f2.2deeec52.00000002(9a6ccc8b)
(11758|11793) pub29->transport cfg_29
(11758|11801) pub36  50% (8 samples sent)
(11758|11790) pub25  writer id: 0103000c.297a35f2.2deeec51.00000002(ddccb65b)
(11758|11790) pub25->started
(11758|11790) pub25  12% (2 samples sent)
(11758|11790) pub25  25% (4 samples sent)
(11758|11790) pub25  31% (5 samples sent)
(11758|11790) pub25  43% (7 samples sent)
(11758|11790) pub25  50% (8 samples sent)
(11758|11790) pub25  62% (10 samples sent)
(11758|11790) pub25  75% (12 samples sent)
(11758|11790) pub25  81% (13 samples sent)
(11758|11790) pub25  93% (15 samples sent)
(11758|11811) pub44->transport cfg_44
(11758|11801) pub36  62% (10 samples sent)
(11758|11801) pub36  75% (12 samples sent)
(11758|11801) pub36  81% (13 samples sent)
(11758|11801) pub36  93% (15 samples sent)
(11758|11801) pub36  100% (16 samples sent)
(11758|11801) pub36->wait_match() before write for 0103000c.297a35f2.2deeec5b.00000002(977caefa)
(11758|11804) pub39->transport cfg_39
(11758|11817) pub48->transport cfg_48
(11758|11790) pub25  100% (16 samples sent)
(11758|11790) pub25->wait_match() before write for 0103000c.297a35f2.2deeec51.00000002(ddccb65b)
(11758|11785) pub21  writer id: 0103000c.297a35f2.2deeec4c.00000002(45bce568)
(11758|11785) pub21->started
(11758|11785) pub21  12% (2 samples sent)
(11758|11785) pub21  25% (4 samples sent)
(11758|11785) pub21  31% (5 samples sent)
(11758|11785) pub21  43% (7 samples sent)
(11758|11785) pub21  50% (8 samples sent)
(11758|11785) pub21  62% (10 samples sent)
(11758|11785) pub21  75% (12 samples sent)
(11758|11785) pub21  81% (13 samples sent)
(11758|11785) pub21  93% (15 samples sent)
(11758|11785) pub21  100% (16 samples sent)
(11758|11785) pub21->wait_match() before write for 0103000c.297a35f2.2deeec4c.00000002(45bce568)
(11758|11791) pub27  writer id: 0103000c.297a35f2.2deeec4e.00000002(3f7cb608)
(11758|11791) pub27->started
(11758|11791) pub27  12% (2 samples sent)
(11758|11791) pub27  25% (4 samples sent)
(11758|11791) pub27  31% (5 samples sent)
(11758|11791) pub27  43% (7 samples sent)
(11758|11791) pub27  50% (8 samples sent)
(11758|11791) pub27  62% (10 samples sent)
(11758|11791) pub27  75% (12 samples sent)
(11758|11791) pub27  81% (13 samples sent)
(11758|11791) pub27  93% (15 samples sent)
(11758|11791) pub27  100% (16 samples sent)
(11758|11791) pub27->wait_match() before write for 0103000c.297a35f2.2deeec4e.00000002(3f7cb608)
(11758|11827) pub57->transport cfg_57
(11758|11782) pub18  writer id: 0103000c.297a35f2.2deeec49.00000002(8d5c6a18)
(11758|11782) pub18->started
(11758|11782) pub18  12% (2 samples sent)
(11758|11782) pub18  25% (4 samples sent)
(11758|11782) pub18  31% (5 samples sent)
(11758|11782) pub18  43% (7 samples sent)
(11758|11782) pub18  50% (8 samples sent)
(11758|11782) pub18  62% (10 samples sent)
(11758|11782) pub18  75% (12 samples sent)
(11758|11782) pub18  81% (13 samples sent)
(11758|11782) pub18  93% (15 samples sent)
(11758|11782) pub18  100% (16 samples sent)
(11758|11782) pub18->wait_match() before write for 0103000c.297a35f2.2deeec49.00000002(8d5c6a18)
(11758|11808) pub41->transport cfg_41
(11758|11796) pub32  writer id: 0103000c.297a35f2.2deeec58.00000002(d0dcd42a)
(11758|11796) pub32->started
(11758|11796) pub32  12% (2 samples sent)
(11758|11796) pub32  25% (4 samples sent)
(11758|11796) pub32  31% (5 samples sent)
(11758|11796) pub32  43% (7 samples sent)
(11758|11796) pub32  50% (8 samples sent)
(11758|11796) pub32  62% (10 samples sent)
(11758|11796) pub32  75% (12 samples sent)
(11758|11796) pub32  81% (13 samples sent)
(11758|11796) pub32  93% (15 samples sent)
(11758|11796) pub32  100% (16 samples sent)
(11758|11796) pub32->wait_match() before write for 0103000c.297a35f2.2deeec58.00000002(d0dcd42a)
(11758|11816) pub47->transport cfg_47
(11758|11829) pub59->transport cfg_59
(11758|11809) pub42  writer id: 0103000c.297a35f2.2deeec61.00000002(7ced0edd)
(11758|11809) pub42->started
(11758|11809) pub42  12% (2 samples sent)
(11758|11809) pub42  25% (4 samples sent)
(11758|11809) pub42  31% (5 samples sent)
(11758|11809) pub42  43% (7 samples sent)
(11758|11809) pub42  50% (8 samples sent)
(11758|11809) pub42  62% (10 samples sent)
(11758|11809) pub42  75% (12 samples sent)
(11758|11809) pub42  81% (13 samples sent)
(11758|11809) pub42  93% (15 samples sent)
(11758|11809) pub42  100% (16 samples sent)
(11758|11809) pub42->wait_match() before write for 0103000c.297a35f2.2deeec61.00000002(7ced0edd)
(11758|11832) pub61->transport cfg_61
(11758|11794) pub30->transport cfg_30
(11758|11823) pub53->transport cfg_53
(11758|11818) pub49->transport cfg_49
(11758|11812) pub45->transport cfg_45
(11758|11824) pub54->transport cfg_54
(11758|11798) pub34  writer id: 0103000c.297a35f2.2deeec59.00000002(edbcfd9a)
(11758|11798) pub34->started
(11758|11798) pub34  12% (2 samples sent)
(11758|11814) pub46->transport cfg_46
(11758|11825) pub55  writer id: 0103000c.297a35f2.2deeec6e.00000002(febd990c)
(11758|11825) pub55->started
(11758|11825) pub55  12% (2 samples sent)
(11758|11825) pub55  25% (4 samples sent)
(11758|11825) pub55  31% (5 samples sent)
(11758|11802) pub37  writer id: 0103000c.297a35f2.2deeec5c.00000002(255c72ea)
(11758|11802) pub37->started
(11758|11803) pub38  writer id: 0103000c.297a35f2.2deeec5d.00000002(183c5b5a)
(11758|11803) pub38->started
(11758|11803) pub38  12% (2 samples sent)
(11758|11803) pub38  25% (4 samples sent)
(11758|11803) pub38  31% (5 samples sent)
(11758|11803) pub38  43% (7 samples sent)
(11758|11803) pub38  50% (8 samples sent)
(11758|11803) pub38  62% (10 samples sent)
(11758|11803) pub38  75% (12 samples sent)
(11758|11803) pub38  81% (13 samples sent)
(11758|11803) pub38  93% (15 samples sent)
(11758|11803) pub38  100% (16 samples sent)
(11758|11803) pub38->wait_match() before write for 0103000c.297a35f2.2deeec5d.00000002(183c5b5a)
(11758|11795) pub31  writer id: 0103000c.297a35f2.2deeec56.00000002(6fec6a4b)
(11758|11795) pub31->started
(11758|11795) pub31  12% (2 samples sent)
(11758|11795) pub31  25% (4 samples sent)
(11758|11795) pub31  31% (5 samples sent)
(11758|11795) pub31  43% (7 samples sent)
(11758|11795) pub31  50% (8 samples sent)
(11758|11795) pub31  62% (10 samples sent)
(11758|11795) pub31  75% (12 samples sent)
(11758|11795) pub31  81% (13 samples sent)
(11758|11795) pub31  93% (15 samples sent)
(11758|11795) pub31  100% (16 samples sent)
(11758|11795) pub31->wait_match() before write for 0103000c.297a35f2.2deeec56.00000002(6fec6a4b)
(11758|11828) pub58->transport cfg_58
(11758|11798) pub34  25% (4 samples sent)
(11758|11798) pub34  31% (5 samples sent)
(11758|11798) pub34  43% (7 samples sent)
(11758|11798) pub34  50% (8 samples sent)
(11758|11798) pub34  62% (10 samples sent)
(11758|11798) pub34  75% (12 samples sent)
(11758|11798) pub34  81% (13 samples sent)
(11758|11798) pub34  93% (15 samples sent)
(11758|11798) pub34  100% (16 samples sent)
(11758|11798) pub34->wait_match() before write for 0103000c.297a35f2.2deeec59.00000002(edbcfd9a)
(11758|11830) pub60->transport cfg_60
(11758|11792) pub28  writer id: 0103000c.297a35f2.2deeec53.00000002(a70ce53b)
(11758|11792) pub28->started
(11758|11792) pub28  12% (2 samples sent)
(11758|11792) pub28  25% (4 samples sent)
(11758|11792) pub28  31% (5 samples sent)
(11758|11792) pub28  43% (7 samples sent)
(11758|11792) pub28  50% (8 samples sent)
(11758|11792) pub28  62% (10 samples sent)
(11758|11792) pub28  75% (12 samples sent)
(11758|11792) pub28  81% (13 samples sent)
(11758|11792) pub28  93% (15 samples sent)
(11758|11792) pub28  100% (16 samples sent)
(11758|11792) pub28->wait_match() before write for 0103000c.297a35f2.2deeec53.00000002(a70ce53b)
(11758|11819) pub50  writer id: 0103000c.297a35f2.2deeec69.00000002(4c9d451c)
(11758|11819) pub50->started
(11758|11819) pub50  12% (2 samples sent)
(11758|11819) pub50  25% (4 samples sent)
(11758|11825) pub55  43% (7 samples sent)
(11758|11825) pub55  50% (8 samples sent)
(11758|11825) pub55  62% (10 samples sent)
(11758|11802) pub37  12% (2 samples sent)
(11758|11802) pub37  25% (4 samples sent)
(11758|11802) pub37  31% (5 samples sent)
(11758|11802) pub37  43% (7 samples sent)
(11758|11802) pub37  50% (8 samples sent)
(11758|11802) pub37  62% (10 samples sent)
(11758|11802) pub37  75% (12 samples sent)
(11758|11802) pub37  81% (13 samples sent)
(11758|11802) pub37  93% (15 samples sent)
(11758|11802) pub37  100% (16 samples sent)
(11758|11802) pub37->wait_match() before write for 0103000c.297a35f2.2deeec5c.00000002(255c72ea)
(11758|11807) pub40  writer id: 0103000c.297a35f2.2deeec5f.00000002(62fc083a)
(11758|11807) pub40->started
(11758|11807) pub40  12% (2 samples sent)
(11758|11807) pub40  25% (4 samples sent)
(11758|11807) pub40  31% (5 samples sent)
(11758|11807) pub40  43% (7 samples sent)
(11758|11807) pub40  50% (8 samples sent)
(11758|11807) pub40  62% (10 samples sent)
(11758|11807) pub40  75% (12 samples sent)
(11758|11807) pub40  81% (13 samples sent)
(11758|11807) pub40  93% (15 samples sent)
(11758|11807) pub40  100% (16 samples sent)
(11758|11807) pub40->wait_match() before write for 0103000c.297a35f2.2deeec5f.00000002(62fc083a)
(11758|11833) pub63->transport cfg_63
(11758|11800) pub35  writer id: 0103000c.297a35f2.2deeec5a.00000002(aa1c874a)
(11758|11800) pub35->started
(11758|11800) pub35  12% (2 samples sent)
(11758|11800) pub35  25% (4 samples sent)
(11758|11800) pub35  31% (5 samples sent)
(11758|11793) pub29  writer id: 0103000c.297a35f2.2deeec54.00000002(152c392b)
(11758|11793) pub29->started
(11758|11793) pub29  12% (2 samples sent)
(11758|11793) pub29  25% (4 samples sent)
(11758|11793) pub29  31% (5 samples sent)
(11758|11793) pub29  43% (7 samples sent)
(11758|11793) pub29  50% (8 samples sent)
(11758|11793) pub29  62% (10 samples sent)
(11758|11793) pub29  75% (12 samples sent)
(11758|11793) pub29  81% (13 samples sent)
(11758|11793) pub29  93% (15 samples sent)
(11758|11793) pub29  100% (16 samples sent)
(11758|11793) pub29->wait_match() before write for 0103000c.297a35f2.2deeec54.00000002(152c392b)
(11758|11834) pub62  writer id: 0103000c.297a35f2.2deeec75.00000002(e98d3f9f)
(11758|11834) pub62->started
(11758|11834) pub62  12% (2 samples sent)
(11758|11834) pub62  25% (4 samples sent)
(11758|11834) pub62  31% (5 samples sent)
(11758|11834) pub62  43% (7 samples sent)
(11758|11834) pub62  50% (8 samples sent)
(11758|11834) pub62  62% (10 samples sent)
(11758|11834) pub62  75% (12 samples sent)
(11758|11834) pub62  81% (13 samples sent)
(11758|11834) pub62  93% (15 samples sent)
(11758|11834) pub62  100% (16 samples sent)
(11758|11834) pub62->wait_match() before write for 0103000c.297a35f2.2deeec75.00000002(e98d3f9f)
(11758|11811) pub44  writer id: 0103000c.297a35f2.2deeec63.00000002(062d5dbd)
(11758|11811) pub44->started
(11758|11811) pub44  12% (2 samples sent)
(11758|11811) pub44  25% (4 samples sent)
(11758|11811) pub44  31% (5 samples sent)
(11758|11811) pub44  43% (7 samples sent)
(11758|11811) pub44  50% (8 samples sent)
(11758|11819) pub50  31% (5 samples sent)
(11758|11819) pub50  43% (7 samples sent)
(11758|11819) pub50  50% (8 samples sent)
(11758|11819) pub50  62% (10 samples sent)
(11758|11819) pub50  75% (12 samples sent)
(11758|11819) pub50  81% (13 samples sent)
(11758|11819) pub50  93% (15 samples sent)
(11758|11819) pub50  100% (16 samples sent)
(11758|11819) pub50->wait_match() before write for 0103000c.297a35f2.2deeec69.00000002(4c9d451c)
(11758|11825) pub55  75% (12 samples sent)
(11758|11825) pub55  81% (13 samples sent)
(11758|11804) pub39  writer id: 0103000c.297a35f2.2deeec5e.00000002(5f9c218a)
(11758|11804) pub39->started
(11758|11804) pub39  12% (2 samples sent)
(11758|11804) pub39  25% (4 samples sent)
(11758|11804) pub39  31% (5 samples sent)
(11758|11804) pub39  43% (7 samples sent)
(11758|11804) pub39  50% (8 samples sent)
(11758|11804) pub39  62% (10 samples sent)
(11758|11804) pub39  75% (12 samples sent)
(11758|11804) pub39  81% (13 samples sent)
(11758|11804) pub39  93% (15 samples sent)
(11758|11804) pub39  100% (16 samples sent)
(11758|11804) pub39->wait_match() before write for 0103000c.297a35f2.2deeec5e.00000002(5f9c218a)
(11758|11821) pub51  writer id: 0103000c.297a35f2.2deeec6a.00000002(0b3d3fcc)
(11758|11821) pub51->started
(11758|11821) pub51  12% (2 samples sent)
(11758|11821) pub51  25% (4 samples sent)
(11758|11821) pub51  31% (5 samples sent)
(11758|11821) pub51  43% (7 samples sent)
(11758|11821) pub51  50% (8 samples sent)
(11758|11821) pub51  62% (10 samples sent)
(11758|11821) pub51  75% (12 samples sent)
(11758|11821) pub51  81% (13 samples sent)
(11758|11821) pub51  93% (15 samples sent)
(11758|11821) pub51  100% (16 samples sent)
(11758|11821) pub51->wait_match() before write for 0103000c.297a35f2.2deeec6a.00000002(0b3d3fcc)
(11758|11817) pub48  writer id: 0103000c.297a35f2.2deeec67.00000002(f3adfb7d)
(11758|11800) pub35  43% (7 samples sent)
(11758|11800) pub35  50% (8 samples sent)
(11758|11800) pub35  62% (10 samples sent)
(11758|11822) pub52  writer id: 0103000c.297a35f2.2deeec6b.00000002(365d167c)
(11758|11822) pub52->started
(11758|11822) pub52  12% (2 samples sent)
(11758|11822) pub52  25% (4 samples sent)
(11758|11822) pub52  31% (5 samples sent)
(11758|11822) pub52  43% (7 samples sent)
(11758|11822) pub52  50% (8 samples sent)
(11758|11822) pub52  62% (10 samples sent)
(11758|11822) pub52  75% (12 samples sent)
(11758|11822) pub52  81% (13 samples sent)
(11758|11822) pub52  93% (15 samples sent)
(11758|11822) pub52  100% (16 samples sent)
(11758|11822) pub52->wait_match() before write for 0103000c.297a35f2.2deeec6b.00000002(365d167c)
(11758|11811) pub44  62% (10 samples sent)
(11758|11829) pub59  writer id: 0103000c.297a35f2.2deeec72.00000002(5bade38f)
(11758|11829) pub59->started
(11758|11829) pub59  12% (2 samples sent)
(11758|11829) pub59  25% (4 samples sent)
(11758|11829) pub59  31% (5 samples sent)
(11758|11829) pub59  43% (7 samples sent)
(11758|11829) pub59  50% (8 samples sent)
(11758|11829) pub59  62% (10 samples sent)
(11758|11829) pub59  75% (12 samples sent)
(11758|11829) pub59  81% (13 samples sent)
(11758|11829) pub59  93% (15 samples sent)
(11758|11829) pub59  100% (16 samples sent)
(11758|11829) pub59->wait_match() before write for 0103000c.297a35f2.2deeec72.00000002(5bade38f)
(11758|11818) pub49  writer id: 0103000c.297a35f2.2deeec68.00000002(71fd6cac)
(11758|11818) pub49->started
(11758|11818) pub49  12% (2 samples sent)
(11758|11818) pub49  25% (4 samples sent)
(11758|11818) pub49  31% (5 samples sent)
(11758|11818) pub49  43% (7 samples sent)
(11758|11818) pub49  50% (8 samples sent)
(11758|11818) pub49  62% (10 samples sent)
(11758|11818) pub49  75% (12 samples sent)
(11758|11818) pub49  81% (13 samples sent)
(11758|11818) pub49  93% (15 samples sent)
(11758|11818) pub49  100% (16 samples sent)
(11758|11818) pub49->wait_match() before write for 0103000c.297a35f2.2deeec68.00000002(71fd6cac)
(11758|11827) pub57  writer id: 0103000c.297a35f2.2deeec70.00000002(216db0ef)
(11758|11827) pub57->started
(11758|11827) pub57  12% (2 samples sent)
(11758|11827) pub57  25% (4 samples sent)
(11758|11827) pub57  31% (5 samples sent)
(11758|11827) pub57  43% (7 samples sent)
(11758|11827) pub57  50% (8 samples sent)
(11758|11827) pub57  62% (10 samples sent)
(11758|11827) pub57  75% (12 samples sent)
(11758|11827) pub57  81% (13 samples sent)
(11758|11827) pub57  93% (15 samples sent)
(11758|11827) pub57  100% (16 samples sent)
(11758|11827) pub57->wait_match() before write for 0103000c.297a35f2.2deeec70.00000002(216db0ef)
(11758|11825) pub55  93% (15 samples sent)
(11758|11825) pub55  100% (16 samples sent)
(11758|11825) pub55->wait_match() before write for 0103000c.297a35f2.2deeec6e.00000002(febd990c)
(11758|11794) pub30  writer id: 0103000c.297a35f2.2deeec55.00000002(284c109b)
(11758|11794) pub30->started
(11758|11794) pub30  12% (2 samples sent)
(11758|11794) pub30  25% (4 samples sent)
(11758|11794) pub30  31% (5 samples sent)
(11758|11794) pub30  43% (7 samples sent)
(11758|11794) pub30  50% (8 samples sent)
(11758|11794) pub30  62% (10 samples sent)
(11758|11794) pub30  75% (12 samples sent)
(11758|11794) pub30  81% (13 samples sent)
(11758|11794) pub30  93% (15 samples sent)
(11758|11794) pub30  100% (16 samples sent)
(11758|11794) pub30->wait_match() before write for 0103000c.297a35f2.2deeec55.00000002(284c109b)
(11758|11817) pub48->started
(11758|11817) pub48  12% (2 samples sent)
(11758|11817) pub48  25% (4 samples sent)
(11758|11817) pub48  31% (5 samples sent)
(11758|11817) pub48  43% (7 samples sent)
(11758|11817) pub48  50% (8 samples sent)
(11758|11817) pub48  62% (10 samples sent)
(11758|11817) pub48  75% (12 samples sent)
(11758|11817) pub48  81% (13 samples sent)
(11758|11817) pub48  93% (15 samples sent)
(11758|11817) pub48  100% (16 samples sent)
(11758|11817) pub48->wait_match() before write for 0103000c.297a35f2.2deeec67.00000002(f3adfb7d)
(11758|11800) pub35  75% (12 samples sent)
(11758|11800) pub35  81% (13 samples sent)
(11758|11800) pub35  93% (15 samples sent)
(11758|11800) pub35  100% (16 samples sent)
(11758|11800) pub35->wait_match() before write for 0103000c.297a35f2.2deeec5a.00000002(aa1c874a)
(11758|11816) pub47  writer id: 0103000c.297a35f2.2deeec66.00000002(cecdd2cd)
(11758|11816) pub47->started
(11758|11811) pub44  75% (12 samples sent)
(11758|11811) pub44  81% (13 samples sent)
(11758|11811) pub44  93% (15 samples sent)
(11758|11811) pub44  100% (16 samples sent)
(11758|11811) pub44->wait_match() before write for 0103000c.297a35f2.2deeec63.00000002(062d5dbd)
(11758|11823) pub53  writer id: 0103000c.297a35f2.2deeec6c.00000002(847dca6c)
(11758|11823) pub53->started
(11758|11823) pub53  12% (2 samples sent)
(11758|11823) pub53  25% (4 samples sent)
(11758|11823) pub53  31% (5 samples sent)
(11758|11823) pub53  43% (7 samples sent)
(11758|11823) pub53  50% (8 samples sent)
(11758|11823) pub53  62% (10 samples sent)
(11758|11823) pub53  75% (12 samples sent)
(11758|11823) pub53  81% (13 samples sent)
(11758|11823) pub53  93% (15 samples sent)
(11758|11823) pub53  100% (16 samples sent)
(11758|11823) pub53->wait_match() before write for 0103000c.297a35f2.2deeec6c.00000002(847dca6c)
(11758|11814) pub46  writer id: 0103000c.297a35f2.2deeec65.00000002(896da81d)
(11758|11814) pub46->started
(11758|11814) pub46  12% (2 samples sent)
(11758|11814) pub46  25% (4 samples sent)
(11758|11814) pub46  31% (5 samples sent)
(11758|11814) pub46  43% (7 samples sent)
(11758|11814) pub46  50% (8 samples sent)
(11758|11814) pub46  62% (10 samples sent)
(11758|11814) pub46  75% (12 samples sent)
(11758|11814) pub46  81% (13 samples sent)
(11758|11814) pub46  93% (15 samples sent)
(11758|11814) pub46  100% (16 samples sent)
(11758|11814) pub46->wait_match() before write for 0103000c.297a35f2.2deeec65.00000002(896da81d)
(11758|11816) pub47  12% (2 samples sent)
(11758|11816) pub47  25% (4 samples sent)
(11758|11816) pub47  31% (5 samples sent)
(11758|11816) pub47  43% (7 samples sent)
(11758|11816) pub47  50% (8 samples sent)
(11758|11816) pub47  62% (10 samples sent)
(11758|11816) pub47  75% (12 samples sent)
(11758|11816) pub47  81% (13 samples sent)
(11758|11816) pub47  93% (15 samples sent)
(11758|11816) pub47  100% (16 samples sent)
(11758|11816) pub47->wait_match() before write for 0103000c.297a35f2.2deeec66.00000002(cecdd2cd)
(11758|11828) pub58  writer id: 0103000c.297a35f2.2deeec71.00000002(1c0d995f)
(11758|11828) pub58->started
(11758|11828) pub58  12% (2 samples sent)
(11758|11828) pub58  25% (4 samples sent)
(11758|11828) pub58  31% (5 samples sent)
(11758|11828) pub58  43% (7 samples sent)
(11758|11828) pub58  50% (8 samples sent)
(11758|11830) pub60  writer id: 0103000c.297a35f2.2deeec74.00000002(d4ed162f)
(11758|11830) pub60->started
(11758|11830) pub60  12% (2 samples sent)
(11758|11830) pub60  25% (4 samples sent)
(11758|11830) pub60  31% (5 samples sent)
(11758|11830) pub60  43% (7 samples sent)
(11758|11830) pub60  50% (8 samples sent)
(11758|11830) pub60  62% (10 samples sent)
(11758|11830) pub60  75% (12 samples sent)
(11758|11830) pub60  81% (13 samples sent)
(11758|11830) pub60  93% (15 samples sent)
(11758|11830) pub60  100% (16 samples sent)
(11758|11830) pub60->wait_match() before write for 0103000c.297a35f2.2deeec74.00000002(d4ed162f)
(11758|11832) pub61  writer id: 0103000c.297a35f2.2deeec73.00000002(66cdca3f)
(11758|11832) pub61->started
(11758|11832) pub61  12% (2 samples sent)
(11758|11832) pub61  25% (4 samples sent)
(11758|11832) pub61  31% (5 samples sent)
(11758|11832) pub61  43% (7 samples sent)
(11758|11832) pub61  50% (8 samples sent)
(11758|11832) pub61  62% (10 samples sent)
(11758|11832) pub61  75% (12 samples sent)
(11758|11832) pub61  81% (13 samples sent)
(11758|11832) pub61  93% (15 samples sent)
(11758|11832) pub61  100% (16 samples sent)
(11758|11832) pub61->wait_match() before write for 0103000c.297a35f2.2deeec73.00000002(66cdca3f)
(11758|11808) pub41  writer id: 0103000c.297a35f2.2deeec60.00000002(418d276d)
(11758|11808) pub41->started
(11758|11808) pub41  12% (2 samples sent)
(11758|11808) pub41  25% (4 samples sent)
(11758|11808) pub41  31% (5 samples sent)
(11758|11808) pub41  43% (7 samples sent)
(11758|11808) pub41  50% (8 samples sent)
(11758|11808) pub41  62% (10 samples sent)
(11758|11808) pub41  75% (12 samples sent)
(11758|11808) pub41  81% (13 samples sent)
(11758|11808) pub41  93% (15 samples sent)
(11758|11808) pub41  100% (16 samples sent)
(11758|11808) pub41->wait_match() before write for 0103000c.297a35f2.2deeec60.00000002(418d276d)
(11758|11828) pub58  62% (10 samples sent)
(11758|11833) pub63  writer id: 0103000c.297a35f2.2deeec76.00000002(ae2d454f)
(11758|11833) pub63->started
(11758|11833) pub63  12% (2 samples sent)
(11758|11833) pub63  25% (4 samples sent)
(11758|11833) pub63  31% (5 samples sent)
(11758|11833) pub63  43% (7 samples sent)
(11758|11833) pub63  50% (8 samples sent)
(11758|11833) pub63  62% (10 samples sent)
(11758|11833) pub63  75% (12 samples sent)
(11758|11833) pub63  81% (13 samples sent)
(11758|11833) pub63  93% (15 samples sent)
(11758|11833) pub63  100% (16 samples sent)
(11758|11833) pub63->wait_match() before write for 0103000c.297a35f2.2deeec76.00000002(ae2d454f)
(11758|11824) pub54  writer id: 0103000c.297a35f2.2deeec6d.00000002(b91de3dc)
(11758|11824) pub54->started
(11758|11824) pub54  12% (2 samples sent)
(11758|11824) pub54  25% (4 samples sent)
(11758|11824) pub54  31% (5 samples sent)
(11758|11824) pub54  43% (7 samples sent)
(11758|11824) pub54  50% (8 samples sent)
(11758|11824) pub54  62% (10 samples sent)
(11758|11824) pub54  75% (12 samples sent)
(11758|11824) pub54  81% (13 samples sent)
(11758|11824) pub54  93% (15 samples sent)
(11758|11824) pub54  100% (16 samples sent)
(11758|11824) pub54->wait_match() before write for 0103000c.297a35f2.2deeec6d.00000002(b91de3dc)
(11758|11828) pub58  75% (12 samples sent)
(11758|11828) pub58  81% (13 samples sent)
(11758|11828) pub58  93% (15 samples sent)
(11758|11828) pub58  100% (16 samples sent)
(11758|11828) pub58->wait_match() before write for 0103000c.297a35f2.2deeec71.00000002(1c0d995f)
(11758|11812) pub45  writer id: 0103000c.297a35f2.2deeec64.00000002(b40d81ad)
(11758|11812) pub45->started
(11758|11812) pub45  12% (2 samples sent)
(11758|11812) pub45  25% (4 samples sent)
(11758|11812) pub45  31% (5 samples sent)
(11758|11812) pub45  43% (7 samples sent)
(11758|11812) pub45  50% (8 samples sent)
(11758|11812) pub45  62% (10 samples sent)
(11758|11812) pub45  75% (12 samples sent)
(11758|11812) pub45  81% (13 samples sent)
(11758|11812) pub45  93% (15 samples sent)
(11758|11812) pub45  100% (16 samples sent)
(11758|11812) pub45->wait_match() before write for 0103000c.297a35f2.2deeec64.00000002(b40d81ad)
(11758|11781) pub17<-match found! before write for 0103000c.297a35f2.2deeec48.00000002(b03c43a8)
(11758|11781) pub17  waiting for acks
(11758|11769) pub5<-match found! before write for 0103000c.297a35f2.2deeec3c.00000002(bc6e05a7)
(11758|11769) pub5  waiting for acks
(11758|11779) pub15<-match found! before write for 0103000c.297a35f2.2deeec47.00000002(326cd479)
(11758|11779) pub15  waiting for acks
(11758|11769) pub5  waiting for acks returned
(11758|11769) pub5<-delete_contained_entities
(11758|11769) pub5<-delete_participant
(11758|11781) pub17  waiting for acks returned
(11758|11781) pub17<-delete_contained_entities
(11758|11833) pub63<-match found! before write for 0103000c.297a35f2.2deeec76.00000002(ae2d454f)
(11758|11833) pub63  waiting for acks
(11758|11781) pub17<-delete_participant
(11758|11793) pub29<-match found! before write for 0103000c.297a35f2.2deeec54.00000002(152c392b)
(11758|11793) pub29  waiting for acks
(11758|11779) pub15  waiting for acks returned
(11758|11779) pub15<-delete_contained_entities
(11758|11779) pub15<-delete_participant
(11758|11789) pub26<-match found! before write for 0103000c.297a35f2.2deeec50.00000002(e0ac9feb)
(11758|11789) pub26  waiting for acks
(11758|11784) pub20<-match found! before write for 0103000c.297a35f2.2deeec4b.00000002(f79c3978)
(11758|11784) pub20  waiting for acks
(11758|11833) pub63  waiting for acks returned
(11758|11833) pub63<-delete_contained_entities
(11758|11833) pub63<-delete_participant
(11758|11821) pub51<-match found! before write for 0103000c.297a35f2.2deeec6a.00000002(0b3d3fcc)
(11758|11821) pub51  waiting for acks
(11758|11778) pub12<-match found! before write for 0103000c.297a35f2.2deeec44.00000002(75ccaea9)
(11758|11778) pub12  waiting for acks
(11758|11803) pub38<-match found! before write for 0103000c.297a35f2.2deeec5d.00000002(183c5b5a)
(11758|11803) pub38  waiting for acks
(11758|11821) pub51  waiting for acks returned
(11758|11821) pub51<-delete_contained_entities
(11758|11774) pub10<-match found! before write for 0103000c.297a35f2.2deeec41.00000002(bd2c21d9)
(11758|11774) pub10  waiting for acks
(11758|11803) pub38  waiting for acks returned
(11758|11803) pub38<-delete_contained_entities
(11758|11793) pub29  waiting for acks returned
(11758|11793) pub29<-delete_contained_entities
(11758|11821) pub51<-delete_participant
(11758|11776) pub14<-match found! before write for 0103000c.297a35f2.2deeec45.00000002(48ac8719)
(11758|11776) pub14  waiting for acks
(11758|11793) pub29<-delete_participant
(11758|11776) pub14  waiting for acks returned
(11758|11776) pub14<-delete_contained_entities
(11758|11803) pub38<-delete_participant
(11758|11809) pub42<-match found! before write for 0103000c.297a35f2.2deeec61.00000002(7ced0edd)
(11758|11809) pub42  waiting for acks
(11758|11776) pub14<-delete_participant
(11758|11811) pub44<-match found! before write for 0103000c.297a35f2.2deeec63.00000002(062d5dbd)
(11758|11811) pub44  waiting for acks
(11758|11811) pub44  waiting for acks returned
(11758|11811) pub44<-delete_contained_entities
(11758|11808) pub41<-match found! before write for 0103000c.297a35f2.2deeec60.00000002(418d276d)
(11758|11808) pub41  waiting for acks
(11758|11825) pub55<-match found! before write for 0103000c.297a35f2.2deeec6e.00000002(febd990c)
(11758|11825) pub55  waiting for acks
(11758|11823) pub53<-match found! before write for 0103000c.297a35f2.2deeec6c.00000002(847dca6c)
(11758|11823) pub53  waiting for acks
(11758|11808) pub41  waiting for acks returned
(11758|11808) pub41<-delete_contained_entities
(11758|11811) pub44<-delete_participant
(11758|11829) pub59<-match found! before write for 0103000c.297a35f2.2deeec72.00000002(5bade38f)
(11758|11829) pub59  waiting for acks
(11758|11808) pub41<-delete_participant
(11758|11766) pub1<-match found! before write for 0103000c.297a35f2.2deeec38.00000002(49eea367)
(11758|11766) pub1  waiting for acks
(11758|11828) pub58<-match found! before write for 0103000c.297a35f2.2deeec71.00000002(1c0d995f)
(11758|11828) pub58  waiting for acks
(11758|11816) pub47<-match found! before write for 0103000c.297a35f2.2deeec66.00000002(cecdd2cd)
(11758|11816) pub47  waiting for acks
(11758|11766) pub1  waiting for acks returned
(11758|11766) pub1<-delete_contained_entities
(11758|11766) pub1<-delete_participant
(11758|11802) pub37<-match found! before write for 0103000c.297a35f2.2deeec5c.00000002(255c72ea)
(11758|11802) pub37  waiting for acks
(11758|11830) pub60<-match found! before write for 0103000c.297a35f2.2deeec74.00000002(d4ed162f)
(11758|11830) pub60  waiting for acks
(11758|11790) pub25<-match found! before write for 0103000c.297a35f2.2deeec51.00000002(ddccb65b)
(11758|11790) pub25  waiting for acks
(11758|11814) pub46<-match found! before write for 0103000c.297a35f2.2deeec65.00000002(896da81d)
(11758|11814) pub46  waiting for acks
(11758|11817) pub48<-match found! before write for 0103000c.297a35f2.2deeec67.00000002(f3adfb7d)
(11758|11817) pub48  waiting for acks
(11758|11809) pub42  waiting for acks returned
(11758|11809) pub42<-delete_contained_entities
(11758|11817) pub48  waiting for acks returned
(11758|11817) pub48<-delete_contained_entities
(11758|11778) pub12  waiting for acks returned
(11758|11778) pub12<-delete_contained_entities
(11758|11807) pub40<-match found! before write for 0103000c.297a35f2.2deeec5f.00000002(62fc083a)
(11758|11807) pub40  waiting for acks
(11758|11810) pub43<-match found! before write for 0103000c.297a35f2.2deeec62.00000002(3b4d740d)
(11758|11810) pub43  waiting for acks
(11758|11809) pub42<-delete_participant
(11758|11800) pub35<-match found! before write for 0103000c.297a35f2.2deeec5a.00000002(aa1c874a)
(11758|11800) pub35  waiting for acks
(11758|11817) pub48<-delete_participant
(11758|11764) pub0<-match found! before write for 0103000c.297a35f2.2deeec37.00000002(cbbe34b6)
(11758|11764) pub0  waiting for acks
(11758|11784) pub20  waiting for acks returned
(11758|11784) pub20<-delete_contained_entities
(11758|11800) pub35  waiting for acks returned
(11758|11800) pub35<-delete_contained_entities
(11758|11788) pub24<-match found! before write for 0103000c.297a35f2.2deeec52.00000002(9a6ccc8b)
(11758|11788) pub24  waiting for acks
(11758|11778) pub12<-delete_participant
(11758|11812) pub45<-match found! before write for 0103000c.297a35f2.2deeec64.00000002(b40d81ad)
(11758|11812) pub45  waiting for acks
(11758|11772) pub8<-match found! before write for 0103000c.297a35f2.2deeec3f.00000002(fbce7f77)
(11758|11772) pub8  waiting for acks
(11758|11774) pub10  waiting for acks returned
(11758|11774) pub10<-delete_contained_entities
(11758|11784) pub20<-delete_participant
(11758|11801) pub36<-match found! before write for 0103000c.297a35f2.2deeec5b.00000002(977caefa)
(11758|11801) pub36  waiting for acks
(11758|11773) pub9<-match found! before write for 0103000c.297a35f2.2deeec40.00000002(804c0869)
(11758|11773) pub9  waiting for acks
(11758|11775) pub11<-match found! before write for 0103000c.297a35f2.2deeec42.00000002(fa8c5b09)
(11758|11775) pub11  waiting for acks
(11758|11800) pub35<-delete_participant
(11758|11768) pub3<-match found! before write for 0103000c.297a35f2.2deeec3a.00000002(332ef007)
(11758|11768) pub3  waiting for acks
(11758|11774) pub10<-delete_participant
(11758|11785) pub21<-match found! before write for 0103000c.297a35f2.2deeec4c.00000002(45bce568)
(11758|11785) pub21  waiting for acks
(11758|11768) pub3  waiting for acks returned
(11758|11768) pub3<-delete_contained_entities
(11758|11822) pub52<-match found! before write for 0103000c.297a35f2.2deeec6b.00000002(365d167c)
(11758|11822) pub52  waiting for acks
(11758|11823) pub53  waiting for acks returned
(11758|11823) pub53<-delete_contained_entities
(11758|11829) pub59  waiting for acks returned
(11758|11829) pub59<-delete_contained_entities
(11758|11768) pub3<-delete_participant
(11758|11826) pub56<-match found! before write for 0103000c.297a35f2.2deeec6f.00000002(c3ddb0bc)
(11758|11826) pub56  waiting for acks
(11758|11771) pub7<-match found! before write for 0103000c.297a35f2.2deeec3e.00000002(c6ae56c7)
(11758|11771) pub7  waiting for acks
(11758|11822) pub52  waiting for acks returned
(11758|11822) pub52<-delete_contained_entities
(11758|11794) pub30<-match found! before write for 0103000c.297a35f2.2deeec55.00000002(284c109b)
(11758|11794) pub30  waiting for acks
(11758|11816) pub47  waiting for acks returned
(11758|11816) pub47<-delete_contained_entities
(11758|11828) pub58  waiting for acks returned
(11758|11828) pub58<-delete_contained_entities
(11758|11823) pub53<-delete_participant
(11758|11829) pub59<-delete_participant
(11758|11771) pub7  waiting for acks returned
(11758|11771) pub7<-delete_contained_entities
(11758|11798) pub34<-match found! before write for 0103000c.297a35f2.2deeec59.00000002(edbcfd9a)
(11758|11798) pub34  waiting for acks
(11758|11788) pub24  waiting for acks returned
(11758|11788) pub24<-delete_contained_entities
(11758|11825) pub55  waiting for acks returned
(11758|11825) pub55<-delete_contained_entities
(11758|11794) pub30  waiting for acks returned
(11758|11794) pub30<-delete_contained_entities
(11758|11822) pub52<-delete_participant
(11758|11791) pub27<-match found! before write for 0103000c.297a35f2.2deeec4e.00000002(3f7cb608)
(11758|11791) pub27  waiting for acks
(11758|11824) pub54<-match found! before write for 0103000c.297a35f2.2deeec6d.00000002(b91de3dc)
(11758|11824) pub54  waiting for acks
(11758|11802) pub37  waiting for acks returned
(11758|11802) pub37<-delete_contained_entities
(11758|11827) pub57<-match found! before write for 0103000c.297a35f2.2deeec70.00000002(216db0ef)
(11758|11827) pub57  waiting for acks
(11758|11795) pub31<-match found! before write for 0103000c.297a35f2.2deeec56.00000002(6fec6a4b)
(11758|11795) pub31  waiting for acks
(11758|11792) pub28<-match found! before write for 0103000c.297a35f2.2deeec53.00000002(a70ce53b)
(11758|11792) pub28  waiting for acks
(11758|11830) pub60  waiting for acks returned
(11758|11830) pub60<-delete_contained_entities
(11758|11816) pub47<-delete_participant
(11758|11783) pub19<-match found! before write for 0103000c.297a35f2.2deeec4a.00000002(cafc10c8)
(11758|11783) pub19  waiting for acks
(11758|11798) pub34  waiting for acks returned
(11758|11798) pub34<-delete_contained_entities
(11758|11814) pub46  waiting for acks returned
(11758|11814) pub46<-delete_contained_entities
(11758|11782) pub18<-match found! before write for 0103000c.297a35f2.2deeec49.00000002(8d5c6a18)
(11758|11782) pub18  waiting for acks
(11758|11765) pub2<-match found! before write for 0103000c.297a35f2.2deeec39.00000002(748e8ad7)
(11758|11765) pub2  waiting for acks
(11758|11828) pub58<-delete_participant
(11758|11790) pub25  waiting for acks returned
(11758|11790) pub25<-delete_contained_entities
(11758|11771) pub7<-delete_participant
(11758|11824) pub54  waiting for acks returned
(11758|11824) pub54<-delete_contained_entities
(11758|11827) pub57  waiting for acks returned
(11758|11827) pub57<-delete_contained_entities
(11758|11788) pub24<-delete_participant
(11758|11804) pub39<-match found! before write for 0103000c.297a35f2.2deeec5e.00000002(5f9c218a)
(11758|11804) pub39  waiting for acks
(11758|11789) pub26  waiting for acks returned
(11758|11789) pub26<-delete_contained_entities
(11758|11787) pub23<-match found! before write for 0103000c.297a35f2.2deeec4f.00000002(021c9fb8)
(11758|11787) pub23  waiting for acks
(11758|11807) pub40  waiting for acks returned
(11758|11807) pub40<-delete_contained_entities
(11758|11825) pub55<-delete_participant
(11758|11834) pub62<-match found! before write for 0103000c.297a35f2.2deeec75.00000002(e98d3f9f)
(11758|11834) pub62  waiting for acks
(11758|11770) pub6<-match found! before write for 0103000c.297a35f2.2deeec3d.00000002(810e2c17)
(11758|11770) pub6  waiting for acks
(11758|11812) pub45  waiting for acks returned
(11758|11812) pub45<-delete_contained_entities
(11758|11794) pub30<-delete_participant
(11758|11810) pub43  waiting for acks returned
(11758|11810) pub43<-delete_contained_entities
(11758|11832) pub61<-match found! before write for 0103000c.297a35f2.2deeec73.00000002(66cdca3f)
(11758|11832) pub61  waiting for acks
(11758|11802) pub37<-delete_participant
(11758|11783) pub19  waiting for acks returned
(11758|11783) pub19<-delete_contained_entities
(11758|11764) pub0  waiting for acks returned
(11758|11764) pub0<-delete_contained_entities
(11758|11830) pub60<-delete_participant
(11758|11772) pub8  waiting for acks returned
(11758|11772) pub8<-delete_contained_entities
(11758|11798) pub34<-delete_participant
(11758|11834) pub62  waiting for acks returned
(11758|11834) pub62<-delete_contained_entities
(11758|11819) pub50<-match found! before write for 0103000c.297a35f2.2deeec69.00000002(4c9d451c)
(11758|11819) pub50  waiting for acks
(11758|11770) pub6  waiting for acks returned
(11758|11770) pub6<-delete_contained_entities
(11758|11795) pub31  waiting for acks returned
(11758|11795) pub31<-delete_contained_entities
(11758|11797) pub33<-match found! before write for 0103000c.297a35f2.2deeec57.00000002(528c43fb)
(11758|11797) pub33  waiting for acks
(11758|11814) pub46<-delete_participant
(11758|11818) pub49<-match found! before write for 0103000c.297a35f2.2deeec68.00000002(71fd6cac)
(11758|11818) pub49  waiting for acks
(11758|11801) pub36  waiting for acks returned
(11758|11801) pub36<-delete_contained_entities
(11758|11790) pub25<-delete_participant
(11758|11804) pub39  waiting for acks returned
(11758|11804) pub39<-delete_contained_entities
(11758|11777) pub13<-match found! before write for 0103000c.297a35f2.2deeec43.00000002(c7ec72b9)
(11758|11777) pub13  waiting for acks
(11758|11785) pub21  waiting for acks returned
(11758|11824) pub54<-delete_participant
(11758|11796) pub32<-match found! before write for 0103000c.297a35f2.2deeec58.00000002(d0dcd42a)
(11758|11796) pub32  waiting for acks
(11758|11785) pub21<-delete_contained_entities
(11758|11767) pub4<-match found! before write for 0103000c.297a35f2.2deeec3b.00000002(0e4ed9b7)
(11758|11767) pub4  waiting for acks
(11758|11780) pub16<-match found! before write for 0103000c.297a35f2.2deeec46.00000002(0f0cfdc9)
(11758|11780) pub16  waiting for acks
(11758|11827) pub57<-delete_participant
(11758|11789) pub26<-delete_participant
(11758|11807) pub40<-delete_participant
(11758|11819) pub50  waiting for acks returned
(11758|11819) pub50<-delete_contained_entities
(11758|11812) pub45<-delete_participant
(11758|11775) pub11  waiting for acks returned
(11758|11775) pub11<-delete_contained_entities
(11758|11792) pub28  waiting for acks returned
(11758|11792) pub28<-delete_contained_entities
(11758|11786) pub22<-match found! before write for 0103000c.297a35f2.2deeec4d.00000002(78dcccd8)
(11758|11786) pub22  waiting for acks
(11758|11810) pub43<-delete_participant
(11758|11791) pub27  waiting for acks returned
(11758|11791) pub27<-delete_contained_entities
(11758|11783) pub19<-delete_participant
(11758|11764) pub0<-delete_participant
(11758|11773) pub9  waiting for acks returned
(11758|11773) pub9<-delete_contained_entities
(11758|11826) pub56  waiting for acks returned
(11758|11826) pub56<-delete_contained_entities
(11758|11787) pub23  waiting for acks returned
(11758|11787) pub23<-delete_contained_entities
(11758|11772) pub8<-delete_participant
(11758|11777) pub13  waiting for acks returned
(11758|11777) pub13<-delete_contained_entities
(11758|11797) pub33  waiting for acks returned
(11758|11797) pub33<-delete_contained_entities
(11758|11834) pub62<-delete_participant
(11758|11796) pub32  waiting for acks returned
(11758|11796) pub32<-delete_contained_entities
(11758|11780) pub16  waiting for acks returned
(11758|11780) pub16<-delete_contained_entities
(11758|11767) pub4  waiting for acks returned
(11758|11767) pub4<-delete_contained_entities
(11758|11770) pub6<-delete_participant
(11758|11795) pub31<-delete_participant
(11758|11818) pub49  waiting for acks returned
(11758|11818) pub49<-delete_contained_entities
(11758|11801) pub36<-delete_participant
(11758|11782) pub18  waiting for acks returned
(11758|11782) pub18<-delete_contained_entities
(11758|11765) pub2  waiting for acks returned
(11758|11765) pub2<-delete_contained_entities
(11758|11804) pub39<-delete_participant
(11758|11763) sub condition_.notify_all
(11758|11758) sub condition_.wait returned
(11758|11758) sub check_received
(11758|11758) sub check_received returns 0
(11758|11758) <- PublisherService::end
(11758|11785) pub21<-delete_participant
(11758|11832) pub61  waiting for acks returned
(11758|11832) pub61<-delete_contained_entities
(11758|11786) pub22  waiting for acks returned
(11758|11786) pub22<-delete_contained_entities
(11758|11819) pub50<-delete_participant
(11758|11775) pub11<-delete_participant
(11758|11792) pub28<-delete_participant
(11758|11791) pub27<-delete_participant
(11758|11773) pub9<-delete_participant
(11758|11826) pub56<-delete_participant
(11758|11787) pub23<-delete_participant
(11758|11777) pub13<-delete_participant
(11758|11797) pub33<-delete_participant
(11758|11796) pub32<-delete_participant
(11758|11780) pub16<-delete_participant
(11758|11767) pub4<-delete_participant
(11758|11818) pub49<-delete_participant
(11758|11782) pub18<-delete_participant
(11758|11765) pub2<-delete_participant
(11758|11832) pub61<-delete_participant
(11758|11786) pub22<-delete_participant
(11758|11758) <- PublisherService::~PublisherService
(11758|11758) <- Subscriber delete_contained_entities
(11758|11758) <- Subscriber delete_participant
(11758|11758) <- Subscriber::~Subscriber
(11758|11758) <- Thrasher shutdown
test PASSED.

auto_run_tests_finished: tests/DCPS/Thrasher/run_test.pl aggressive rtps durable Time:109s Result:0

==============================================================================

tests/DCPS/DPFactoryQos/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12108
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSPendingTimeout 3 
pub PID: 12115 started at 2023-04-19 14:21:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSPendingTimeout 3 
sub PID: 12116 started at 2023-04-19 14:21:31
(12108|12108) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/DPFactoryQos/run_test.pl Time:9s Result:0

==============================================================================

tests/DCPS/DPFactoryQos/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
pub PID: 12134 started at 2023-04-19 14:21:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DPFactoryQos/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSConfigFile rtps_disc.ini  -DCPSPendingTimeout 3 
sub PID: 12135 started at 2023-04-19 14:21:40
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
test PASSED.

auto_run_tests_finished: tests/DCPS/DPFactoryQos/run_test.pl rtps_disc Time:8s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12156
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_inforepo_tcp.ini  -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 12163 started at 2023-04-19 14:21:48
2023-04-19 14:21:48.515@LM_NOTICE@(12163|12163) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(12156|12156) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-19 14:21:48.558@LM_WARNING@(12163|12163) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-19 14:21:48.685@LM_DEBUG@(12163|12163) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-19 14:21:48.685@LM_DEBUG@(12163|12163) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 01030000.1c22b9e4.00000002.00000102(1ca055cf)
2023-04-19 14:21:48.685@LM_DEBUG@(12163|12163) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-19 14:21:48.685@LM_DEBUG@(12163|12163) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 01030000.1c22b9e4.00000003.00000102(21c07c7f)
2023-04-19 14:21:48.685@LM_DEBUG@(12163|12176) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-19 14:21:48.686@LM_DEBUG@(12163|12163) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-19 14:21:48.686@LM_DEBUG@(12163|12163) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 01030000.1c22b9e4.00000002.00000202(378d060c)
2023-04-19 14:21:48.686@LM_DEBUG@(12163|12163) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-19 14:21:48.686@LM_DEBUG@(12163|12163) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 01030000.1c22b9e4.00000002.00000302(2e96374d)
2023-04-19 14:21:48.686@LM_DEBUG@(12163|12163) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-19 14:21:48.686@LM_DEBUG@(12163|12179) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-19 14:21:48.686@LM_DEBUG@(12163|12179) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:21:48.686@LM_DEBUG@(12163|12177) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-19 14:21:48.686@LM_DEBUG@(12163|12177) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:21:48.686@LM_DEBUG@(12163|12176) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:21:48.686@LM_DEBUG@(12163|12178) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-19 14:21:48.686@LM_INFO@(12163|12175) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.1c22b9e4.00000002.00000102(1ca055cf))
2023-04-19 14:21:48.687@LM_DEBUG@(12163|12178) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:21:48.687@LM_INFO@(12163|12175) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 48 (01030000.1c22b9e4.00000002.00000302(2e96374d))
2023-04-19 14:21:48.687@LM_INFO@(12163|12175) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-19 14:21:48.688@LM_INFO@(12163|12175) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.1c22b9e4.00000002.00000202(378d060c))
2023-04-19 14:21:48.688@LM_INFO@(12163|12175) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-19 14:21:50.261@LM_INFO@(12163|12175) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 42 (01030000.1c22b9e4.00000003.00000102(21c07c7f))
2023-04-19 14:21:50.686@LM_DEBUG@(12163|12177) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:21:50.687@LM_DEBUG@(12163|12179) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:21:50.687@LM_DEBUG@(12163|12176) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:21:50.687@LM_DEBUG@(12163|12178) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:21:50.688@LM_INFO@(12163|12175) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-19 14:21:50.689@LM_INFO@(12163|12175) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-19 14:21:52.687@LM_DEBUG@(12163|12177) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:21:52.687@LM_DEBUG@(12163|12179) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:21:52.687@LM_DEBUG@(12163|12176) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:21:52.688@LM_DEBUG@(12163|12178) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:21:52.688@LM_INFO@(12163|12175) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-19 14:21:52.689@LM_INFO@(12163|12175) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-19 14:21:54.687@LM_DEBUG@(12163|12177) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:21:54.688@LM_DEBUG@(12163|12179) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:21:54.688@LM_DEBUG@(12163|12176) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:21:54.688@LM_DEBUG@(12163|12178) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:21:54.689@LM_INFO@(12163|12175) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-19 14:21:54.690@LM_INFO@(12163|12175) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-19 14:21:56.687@LM_DEBUG@(12163|12177) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:21:56.688@LM_DEBUG@(12163|12178) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:21:56.689@LM_DEBUG@(12163|12176) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:21:56.689@LM_DEBUG@(12163|12179) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:21:56.689@LM_INFO@(12163|12175) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-19 14:21:56.690@LM_INFO@(12163|12175) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-19 14:21:58.688@LM_DEBUG@(12163|12177) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:21:58.689@LM_DEBUG@(12163|12178) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:21:58.689@LM_DEBUG@(12163|12176) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:21:58.690@LM_DEBUG@(12163|12179) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:21:58.690@LM_INFO@(12163|12175) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-19 14:21:58.691@LM_INFO@(12163|12175) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-19 14:22:00.688@LM_DEBUG@(12163|12177) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:22:00.690@LM_DEBUG@(12163|12178) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:22:00.690@LM_DEBUG@(12163|12179) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:22:00.691@LM_INFO@(12163|12175) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-19 14:22:00.691@LM_DEBUG@(12163|12176) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:22:00.691@LM_INFO@(12163|12175) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-19 14:22:02.688@LM_DEBUG@(12163|12177) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:22:02.690@LM_DEBUG@(12163|12178) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:22:02.691@LM_DEBUG@(12163|12179) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:22:02.691@LM_DEBUG@(12163|12176) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:22:02.691@LM_INFO@(12163|12175) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-19 14:22:02.692@LM_INFO@(12163|12175) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-19 14:22:04.688@LM_DEBUG@(12163|12177) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:22:04.691@LM_DEBUG@(12163|12178) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:22:04.691@LM_DEBUG@(12163|12179) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:22:04.692@LM_INFO@(12163|12175) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-19 14:22:04.692@LM_DEBUG@(12163|12176) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:22:04.692@LM_INFO@(12163|12175) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-19 14:22:06.688@LM_DEBUG@(12163|12177) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:22:06.691@LM_DEBUG@(12163|12178) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:22:06.691@LM_DEBUG@(12163|12179) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:22:06.692@LM_DEBUG@(12163|12176) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:22:06.692@LM_INFO@(12163|12175) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-19 14:22:06.692@LM_INFO@(12163|12175) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-19 14:22:08.689@LM_DEBUG@(12163|12177) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-19 14:22:08.692@LM_DEBUG@(12163|12179) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-19 14:22:08.693@LM_DEBUG@(12163|12176) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-19 14:22:08.693@LM_DEBUG@(12163|12163) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-19 14:22:08.693@LM_DEBUG@(12163|12163) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-19 14:22:08.693@LM_DEBUG@(12163|12163) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-19 14:22:08.693@LM_DEBUG@(12163|12163) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-19 14:22:08.693@LM_DEBUG@(12163|12178) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-19 14:22:08.693@LM_DEBUG@(12163|12163) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-19 14:22:08.693@LM_DEBUG@(12163|12163) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-19 14:22:08.693@LM_DEBUG@(12163|12163) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-19 14:22:08.693@LM_INFO@(12163|12163) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-19 14:22:09.892@LM_INFO@(12163|12164) DataWriterListenerImpl::on_liveliness_lost 0x10b23a0 1
2023-04-19 14:22:09.892@LM_INFO@(12163|12164)    total_count=1 total_count_change=1
2023-04-19 14:22:09.892@LM_INFO@(12163|12164) DataWriterListenerImpl::on_liveliness_lost 0x10bd040 1
2023-04-19 14:22:09.892@LM_INFO@(12163|12164)    total_count=1 total_count_change=1
2023-04-19 14:22:09.892@LM_INFO@(12163|12164) DataWriterListenerImpl::on_liveliness_lost 0x1025350 1
2023-04-19 14:22:09.892@LM_INFO@(12163|12164)    total_count=1 total_count_change=1
2023-04-19 14:22:11.064@LM_INFO@(12163|12164) DataWriterListenerImpl::on_liveliness_lost 0x106cd90 1
2023-04-19 14:22:11.065@LM_INFO@(12163|12164)    total_count=1 total_count_change=1
2023-04-19 14:22:11.693@LM_INFO@(12163|12164) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.1c22b9e4.00000002.00000102(1ca055cf))
2023-04-19 14:22:11.693@LM_INFO@(12163|12164) instance is unregistered
2023-04-19 14:22:11.693@LM_INFO@(12163|12164) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.1c22b9e4.00000002.00000202(378d060c))
2023-04-19 14:22:11.693@LM_INFO@(12163|12164) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.1c22b9e4.00000002.00000302(2e96374d))
2023-04-19 14:22:12.865@LM_INFO@(12163|12164) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-19 14:22:12.865@LM_INFO@(12163|12163) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-19 14:22:12.865@LM_INFO@(12163|12164) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.1c22b9e4.00000003.00000102(21c07c7f))
2023-04-19 14:22:12.865@LM_INFO@subscriber got 20 of 20 messages, and 8 of 8 callbacks
2023-04-19 14:22:12.866@LM_INFO@(12163|12175) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.1c22b9e4.00000002.00000102(1ca055cf))
2023-04-19 14:22:12.866@LM_INFO@(12163|12175) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.1c22b9e4.00000002.00000202(378d060c))
2023-04-19 14:22:12.868@LM_INFO@(12163|12165) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.1c22b9e4.00000002.00000102(1ca055cf))
2023-04-19 14:22:12.870@LM_INFO@(12163|12165) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.1c22b9e4.00000002.00000202(378d060c))
2023-04-19 14:22:12.872@LM_INFO@(12163|12165) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.1c22b9e4.00000002.00000302(2e96374d))
2023-04-19 14:22:12.885@LM_INFO@(12163|12165) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.1c22b9e4.00000003.00000102(21c07c7f))
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl Time:25s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl lost #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12182
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_inforepo_tcp.ini -l -n 4 -t 10 -c 8 -N 4 -C 16 -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 12189 started at 2023-04-19 14:22:13
2023-04-19 14:22:13.213@LM_NOTICE@(12189|12189) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(12182|12182) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-19 14:22:13.256@LM_WARNING@(12189|12189) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-19 14:22:13.384@LM_DEBUG@(12189|12189) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-19 14:22:13.384@LM_DEBUG@(12189|12189) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 01030000.5a597db2.00000002.00000102(6ee1044f)
2023-04-19 14:22:13.384@LM_DEBUG@(12189|12189) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-19 14:22:13.384@LM_DEBUG@(12189|12189) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 01030000.5a597db2.00000003.00000102(53812dff)
2023-04-19 14:22:13.384@LM_DEBUG@(12189|12202) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-19 14:22:13.384@LM_DEBUG@(12189|12189) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-19 14:22:13.384@LM_DEBUG@(12189|12189) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 01030000.5a597db2.00000002.00000202(45cc578c)
2023-04-19 14:22:13.384@LM_DEBUG@(12189|12189) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-19 14:22:13.384@LM_DEBUG@(12189|12189) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 01030000.5a597db2.00000002.00000302(5cd766cd)
2023-04-19 14:22:13.385@LM_DEBUG@(12189|12189) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-19 14:22:13.385@LM_INFO@(12189|12201) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.5a597db2.00000002.00000102(6ee1044f))
2023-04-19 14:22:13.385@LM_DEBUG@(12189|12203) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-19 14:22:13.385@LM_DEBUG@(12189|12203) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:22:13.385@LM_DEBUG@(12189|12202) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:22:13.386@LM_DEBUG@(12189|12205) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-19 14:22:13.386@LM_DEBUG@(12189|12205) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:22:13.386@LM_INFO@(12189|12201) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-19 14:22:13.386@LM_INFO@(12189|12201) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 48 (01030000.5a597db2.00000002.00000302(5cd766cd))
2023-04-19 14:22:13.386@LM_DEBUG@(12189|12204) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-19 14:22:13.387@LM_INFO@(12189|12201) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.5a597db2.00000002.00000202(45cc578c))
2023-04-19 14:22:13.387@LM_DEBUG@(12189|12204) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:22:13.387@LM_INFO@(12189|12201) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-19 14:22:14.954@LM_INFO@(12189|12201) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 42 (01030000.5a597db2.00000003.00000102(53812dff))
2023-04-19 14:22:16.586@LM_INFO@(12189|12190) DataWriterListenerImpl::on_liveliness_lost 0x1472310 1
2023-04-19 14:22:16.586@LM_INFO@(12189|12190)    total_count=1 total_count_change=1
2023-04-19 14:22:16.586@LM_INFO@(12189|12190) DataWriterListenerImpl::on_liveliness_lost 0x150ada0 1
2023-04-19 14:22:16.586@LM_INFO@(12189|12190)    total_count=1 total_count_change=1
2023-04-19 14:22:16.587@LM_INFO@(12189|12190) DataWriterListenerImpl::on_liveliness_lost 0x1500100 1
2023-04-19 14:22:16.587@LM_INFO@(12189|12190)    total_count=1 total_count_change=1
2023-04-19 14:22:18.154@LM_INFO@(12189|12190) DataWriterListenerImpl::on_liveliness_lost 0x14ba860 1
2023-04-19 14:22:18.154@LM_INFO@(12189|12190)    total_count=1 total_count_change=1
2023-04-19 14:22:18.386@LM_INFO@(12189|12190) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.5a597db2.00000002.00000102(6ee1044f))
2023-04-19 14:22:18.387@LM_INFO@(12189|12190) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.5a597db2.00000002.00000302(5cd766cd))
2023-04-19 14:22:18.388@LM_INFO@(12189|12190) instance is unregistered
2023-04-19 14:22:18.388@LM_INFO@(12189|12190) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.5a597db2.00000002.00000202(45cc578c))
2023-04-19 14:22:19.955@LM_INFO@(12189|12190) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.5a597db2.00000003.00000102(53812dff))
2023-04-19 14:22:43.386@LM_DEBUG@(12189|12203) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:22:43.386@LM_DEBUG@(12189|12202) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:22:43.387@LM_DEBUG@(12189|12205) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:22:43.387@LM_INFO@(12189|12201) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.5a597db2.00000002.00000102(6ee1044f))
2023-04-19 14:22:43.387@LM_INFO@(12189|12201) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-19 14:22:43.387@LM_INFO@(12189|12201) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.5a597db2.00000002.00000302(5cd766cd))
2023-04-19 14:22:43.388@LM_DEBUG@(12189|12204) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:22:43.388@LM_INFO@(12189|12201) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 3
  not_alive_count = 1
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.5a597db2.00000002.00000202(45cc578c))
2023-04-19 14:22:43.388@LM_INFO@(12189|12201) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-19 14:22:43.755@LM_INFO@(12189|12201) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.5a597db2.00000003.00000102(53812dff))
2023-04-19 14:22:46.588@LM_INFO@(12189|12190) DataWriterListenerImpl::on_liveliness_lost 0x1472310 2
2023-04-19 14:22:46.588@LM_INFO@(12189|12190)    total_count=2 total_count_change=1
2023-04-19 14:22:46.588@LM_INFO@(12189|12190) DataWriterListenerImpl::on_liveliness_lost 0x150ada0 2
2023-04-19 14:22:46.588@LM_INFO@(12189|12190)    total_count=2 total_count_change=1
2023-04-19 14:22:46.588@LM_INFO@(12189|12190) DataWriterListenerImpl::on_liveliness_lost 0x1500100 2
2023-04-19 14:22:46.588@LM_INFO@(12189|12190)    total_count=2 total_count_change=1
2023-04-19 14:22:46.954@LM_INFO@(12189|12190) DataWriterListenerImpl::on_liveliness_lost 0x14ba860 2
2023-04-19 14:22:46.954@LM_INFO@(12189|12190)    total_count=2 total_count_change=1
2023-04-19 14:22:48.387@LM_INFO@(12189|12190) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.5a597db2.00000002.00000102(6ee1044f))
2023-04-19 14:22:48.388@LM_INFO@(12189|12190) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.5a597db2.00000002.00000302(5cd766cd))
2023-04-19 14:22:48.412@LM_INFO@(12189|12190) instance is unregistered
2023-04-19 14:22:48.412@LM_INFO@(12189|12190) DataReaderListenerImpl::on_liveliness_changed #15
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.5a597db2.00000002.00000202(45cc578c))
2023-04-19 14:22:48.755@LM_INFO@(12189|12190) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-19 14:22:48.755@LM_INFO@(12189|12190) DataReaderListenerImpl::on_liveliness_changed #16
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.5a597db2.00000003.00000102(53812dff))
2023-04-19 14:22:53.386@LM_DEBUG@(12189|12203) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-19 14:22:53.387@LM_DEBUG@(12189|12205) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-19 14:22:53.387@LM_DEBUG@(12189|12202) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-19 14:22:53.388@LM_DEBUG@(12189|12204) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-19 14:22:53.411@LM_DEBUG@(12189|12189) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-19 14:22:53.411@LM_DEBUG@(12189|12189) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-19 14:22:53.411@LM_DEBUG@(12189|12189) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-19 14:22:53.411@LM_DEBUG@(12189|12189) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-19 14:22:53.411@LM_DEBUG@(12189|12189) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-19 14:22:53.411@LM_DEBUG@(12189|12189) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-19 14:22:53.411@LM_DEBUG@(12189|12189) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-19 14:22:53.411@LM_INFO@(12189|12189) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-19 14:22:53.411@LM_INFO@(12189|12189) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-19 14:22:53.411@LM_INFO@subscriber got 4 of 4 messages, and 16 of 16 callbacks
2023-04-19 14:22:53.413@LM_INFO@(12189|12191) DataReaderListenerImpl::on_liveliness_changed #17
  alive_count = 0
  not_alive_count = 3
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.5a597db2.00000002.00000102(6ee1044f))
2023-04-19 14:22:53.413@LM_INFO@(12189|12201) DataReaderListenerImpl::on_liveliness_changed #18
  alive_count = 1
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.5a597db2.00000002.00000202(45cc578c))
2023-04-19 14:22:53.414@LM_INFO@(12189|12191) DataReaderListenerImpl::on_liveliness_changed #19
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.5a597db2.00000002.00000202(45cc578c))
2023-04-19 14:22:53.415@LM_INFO@(12189|12191) DataReaderListenerImpl::on_liveliness_changed #20
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.5a597db2.00000002.00000302(5cd766cd))
2023-04-19 14:22:53.423@LM_INFO@(12189|12191) DataReaderListenerImpl::on_liveliness_changed #21
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.5a597db2.00000003.00000102(53812dff))
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl lost Time:40s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12219
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_inforepo_rtps.ini  -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 12226 started at 2023-04-19 14:22:53
2023-04-19 14:22:53.771@LM_NOTICE@(12226|12226) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(12219|12219) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-19 14:22:53.813@LM_WARNING@(12226|12226) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-19 14:22:53.950@LM_DEBUG@(12226|12226) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-19 14:22:53.950@LM_DEBUG@(12226|12226) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 01030000.5c1dc608.00000002.00000102(4c11f10f)
2023-04-19 14:22:53.950@LM_DEBUG@(12226|12226) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-19 14:22:53.950@LM_DEBUG@(12226|12226) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 01030000.5c1dc608.00000003.00000102(7171d8bf)
2023-04-19 14:22:53.950@LM_DEBUG@(12226|12239) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-19 14:22:53.950@LM_DEBUG@(12226|12226) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-19 14:22:53.950@LM_DEBUG@(12226|12226) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 01030000.5c1dc608.00000002.00000202(673ca2cc)
2023-04-19 14:22:53.950@LM_DEBUG@(12226|12226) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-19 14:22:53.950@LM_DEBUG@(12226|12226) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 01030000.5c1dc608.00000002.00000302(7e27938d)
2023-04-19 14:22:53.950@LM_DEBUG@(12226|12226) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-19 14:22:53.950@LM_DEBUG@(12226|12242) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-19 14:22:53.950@LM_DEBUG@(12226|12242) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:22:53.951@LM_DEBUG@(12226|12240) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-19 14:22:53.951@LM_DEBUG@(12226|12240) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:22:53.951@LM_DEBUG@(12226|12239) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:22:53.951@LM_INFO@(12226|12238) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.5c1dc608.00000002.00000102(4c11f10f))
2023-04-19 14:22:53.951@LM_DEBUG@(12226|12241) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-19 14:22:53.952@LM_DEBUG@(12226|12241) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:22:53.952@LM_INFO@(12226|12238) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-19 14:22:53.952@LM_INFO@(12226|12238) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.5c1dc608.00000002.00000202(673ca2cc))
2023-04-19 14:22:53.952@LM_INFO@(12226|12238) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-19 14:22:53.961@LM_INFO@(12226|12238) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 48 (01030000.5c1dc608.00000002.00000302(7e27938d))
2023-04-19 14:22:55.529@LM_INFO@(12226|12238) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 42 (01030000.5c1dc608.00000003.00000102(7171d8bf))
2023-04-19 14:22:55.951@LM_DEBUG@(12226|12242) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:22:55.951@LM_DEBUG@(12226|12240) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:22:55.951@LM_DEBUG@(12226|12239) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:22:55.952@LM_DEBUG@(12226|12241) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:22:55.952@LM_INFO@(12226|12238) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-19 14:22:55.953@LM_INFO@(12226|12238) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-19 14:22:57.951@LM_DEBUG@(12226|12240) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:22:57.951@LM_DEBUG@(12226|12242) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:22:57.952@LM_DEBUG@(12226|12239) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:22:57.953@LM_INFO@(12226|12238) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-19 14:22:57.953@LM_DEBUG@(12226|12241) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:22:57.953@LM_INFO@(12226|12238) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-19 14:22:59.951@LM_DEBUG@(12226|12240) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:22:59.951@LM_DEBUG@(12226|12242) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:22:59.952@LM_DEBUG@(12226|12239) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:22:59.953@LM_DEBUG@(12226|12241) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:22:59.953@LM_INFO@(12226|12238) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-19 14:22:59.954@LM_INFO@(12226|12238) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-19 14:23:01.951@LM_DEBUG@(12226|12240) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:23:01.952@LM_DEBUG@(12226|12242) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:23:01.953@LM_DEBUG@(12226|12239) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:23:01.954@LM_DEBUG@(12226|12241) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:23:01.954@LM_INFO@(12226|12238) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-19 14:23:01.955@LM_INFO@(12226|12238) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-19 14:23:03.952@LM_DEBUG@(12226|12240) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:23:03.952@LM_DEBUG@(12226|12242) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:23:03.954@LM_DEBUG@(12226|12239) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:23:03.954@LM_DEBUG@(12226|12241) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:23:03.955@LM_INFO@(12226|12238) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-19 14:23:03.955@LM_INFO@(12226|12238) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-19 14:23:05.952@LM_DEBUG@(12226|12240) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:23:05.953@LM_DEBUG@(12226|12242) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:23:05.954@LM_DEBUG@(12226|12239) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:23:05.955@LM_DEBUG@(12226|12241) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:23:05.955@LM_INFO@(12226|12238) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-19 14:23:05.955@LM_INFO@(12226|12238) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-19 14:23:07.952@LM_DEBUG@(12226|12240) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:23:07.953@LM_DEBUG@(12226|12242) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:23:07.955@LM_DEBUG@(12226|12239) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:23:07.955@LM_DEBUG@(12226|12241) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:23:07.956@LM_INFO@(12226|12238) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-19 14:23:07.956@LM_INFO@(12226|12238) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-19 14:23:09.952@LM_DEBUG@(12226|12240) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:23:09.954@LM_DEBUG@(12226|12242) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:23:09.955@LM_DEBUG@(12226|12239) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:23:09.956@LM_DEBUG@(12226|12241) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:23:09.956@LM_INFO@(12226|12238) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-19 14:23:09.957@LM_INFO@(12226|12238) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-19 14:23:11.953@LM_DEBUG@(12226|12240) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:23:11.954@LM_DEBUG@(12226|12242) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:23:11.956@LM_DEBUG@(12226|12239) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:23:11.957@LM_DEBUG@(12226|12241) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:23:11.957@LM_INFO@(12226|12238) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-19 14:23:11.958@LM_INFO@(12226|12238) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-19 14:23:13.953@LM_DEBUG@(12226|12240) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-19 14:23:13.954@LM_DEBUG@(12226|12242) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-19 14:23:13.957@LM_DEBUG@(12226|12239) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-19 14:23:13.957@LM_DEBUG@(12226|12241) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-19 14:23:13.958@LM_DEBUG@(12226|12226) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-19 14:23:13.958@LM_DEBUG@(12226|12226) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-19 14:23:13.958@LM_DEBUG@(12226|12226) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-19 14:23:13.958@LM_DEBUG@(12226|12226) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-19 14:23:13.958@LM_DEBUG@(12226|12226) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-19 14:23:13.958@LM_DEBUG@(12226|12226) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-19 14:23:13.958@LM_DEBUG@(12226|12226) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-19 14:23:13.958@LM_INFO@(12226|12226) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-19 14:23:15.155@LM_INFO@(12226|12227) DataWriterListenerImpl::on_liveliness_lost 0x1795580 1
2023-04-19 14:23:15.155@LM_INFO@(12226|12227)    total_count=1 total_count_change=1
2023-04-19 14:23:15.156@LM_INFO@(12226|12227) DataWriterListenerImpl::on_liveliness_lost 0x17551a0 1
2023-04-19 14:23:15.156@LM_INFO@(12226|12227)    total_count=1 total_count_change=1
2023-04-19 14:23:15.157@LM_INFO@(12226|12227) DataWriterListenerImpl::on_liveliness_lost 0x178a190 1
2023-04-19 14:23:15.157@LM_INFO@(12226|12227)    total_count=1 total_count_change=1
2023-04-19 14:23:16.322@LM_INFO@(12226|12227) DataWriterListenerImpl::on_liveliness_lost 0x1770bd0 1
2023-04-19 14:23:16.322@LM_INFO@(12226|12227)    total_count=1 total_count_change=1
2023-04-19 14:23:16.957@LM_INFO@(12226|12227) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.5c1dc608.00000002.00000102(4c11f10f))
2023-04-19 14:23:16.974@LM_INFO@(12226|12227) instance is unregistered
2023-04-19 14:23:16.974@LM_INFO@(12226|12227) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.5c1dc608.00000002.00000202(673ca2cc))
2023-04-19 14:23:16.974@LM_INFO@(12226|12227) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.5c1dc608.00000002.00000302(7e27938d))
2023-04-19 14:23:18.133@LM_INFO@(12226|12227) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-19 14:23:18.133@LM_INFO@(12226|12226) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-19 14:23:18.133@LM_INFO@subscriber got 20 of 20 messages, and 8 of 8 callbacks
2023-04-19 14:23:18.134@LM_INFO@(12226|12227) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.5c1dc608.00000003.00000102(7171d8bf))
2023-04-19 14:23:18.134@LM_INFO@(12226|12238) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.5c1dc608.00000002.00000102(4c11f10f))
2023-04-19 14:23:18.134@LM_INFO@(12226|12238) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.5c1dc608.00000002.00000202(673ca2cc))
2023-04-19 14:23:19.157@LM_INFO@(12226|12228) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.5c1dc608.00000002.00000102(4c11f10f))
2023-04-19 14:23:19.159@LM_INFO@(12226|12228) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.5c1dc608.00000002.00000202(673ca2cc))
2023-04-19 14:23:19.161@LM_INFO@(12226|12228) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.5c1dc608.00000002.00000302(7e27938d))
2023-04-19 14:23:19.171@LM_INFO@(12226|12228) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.5c1dc608.00000003.00000102(7171d8bf))
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtps Time:26s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtps lost #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12244
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_inforepo_rtps.ini -l -n 4 -t 10 -c 8 -N 4 -C 16 -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 12251 started at 2023-04-19 14:23:19
2023-04-19 14:23:19.583@LM_NOTICE@(12251|12251) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(12244|12244) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-19 14:23:19.632@LM_WARNING@(12251|12251) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-19 14:23:19.777@LM_DEBUG@(12251|12251) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-19 14:23:19.777@LM_DEBUG@(12251|12251) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 01030000.5d490b4c.00000002.00000102(11ecc4dc)
2023-04-19 14:23:19.777@LM_DEBUG@(12251|12251) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-19 14:23:19.777@LM_DEBUG@(12251|12251) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 01030000.5d490b4c.00000003.00000102(2c8ced6c)
2023-04-19 14:23:19.777@LM_DEBUG@(12251|12264) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-19 14:23:19.778@LM_DEBUG@(12251|12251) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-19 14:23:19.778@LM_DEBUG@(12251|12251) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 01030000.5d490b4c.00000002.00000202(3ac1971f)
2023-04-19 14:23:19.778@LM_DEBUG@(12251|12251) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-19 14:23:19.778@LM_DEBUG@(12251|12251) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 01030000.5d490b4c.00000002.00000302(23daa65e)
2023-04-19 14:23:19.778@LM_DEBUG@(12251|12251) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-19 14:23:19.778@LM_DEBUG@(12251|12267) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-19 14:23:19.779@LM_DEBUG@(12251|12267) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:23:19.779@LM_DEBUG@(12251|12265) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-19 14:23:19.779@LM_DEBUG@(12251|12265) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:23:19.779@LM_DEBUG@(12251|12264) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:23:19.779@LM_INFO@(12251|12263) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.5d490b4c.00000002.00000102(11ecc4dc))
2023-04-19 14:23:19.780@LM_DEBUG@(12251|12266) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-19 14:23:19.780@LM_DEBUG@(12251|12266) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:23:19.780@LM_INFO@(12251|12263) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-19 14:23:19.780@LM_INFO@(12251|12263) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.5d490b4c.00000002.00000202(3ac1971f))
2023-04-19 14:23:19.781@LM_INFO@(12251|12263) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-19 14:23:19.789@LM_INFO@(12251|12263) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 48 (01030000.5d490b4c.00000002.00000302(23daa65e))
2023-04-19 14:23:21.349@LM_INFO@(12251|12263) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 42 (01030000.5d490b4c.00000003.00000102(2c8ced6c))
2023-04-19 14:23:22.979@LM_INFO@(12251|12252) DataWriterListenerImpl::on_liveliness_lost 0x1d80520 1
2023-04-19 14:23:22.979@LM_INFO@(12251|12252)    total_count=1 total_count_change=1
2023-04-19 14:23:22.979@LM_INFO@(12251|12252) DataWriterListenerImpl::on_liveliness_lost 0x1d40140 1
2023-04-19 14:23:22.980@LM_INFO@(12251|12252)    total_count=1 total_count_change=1
2023-04-19 14:23:22.980@LM_INFO@(12251|12252) DataWriterListenerImpl::on_liveliness_lost 0x1d75130 1
2023-04-19 14:23:22.980@LM_INFO@(12251|12252)    total_count=1 total_count_change=1
2023-04-19 14:23:24.538@LM_INFO@(12251|12252) DataWriterListenerImpl::on_liveliness_lost 0x1d5bb70 1
2023-04-19 14:23:24.538@LM_INFO@(12251|12252)    total_count=1 total_count_change=1
2023-04-19 14:23:24.780@LM_INFO@(12251|12252) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.5d490b4c.00000002.00000102(11ecc4dc))
2023-04-19 14:23:24.782@LM_INFO@(12251|12252) instance is unregistered
2023-04-19 14:23:24.782@LM_INFO@(12251|12252) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.5d490b4c.00000002.00000202(3ac1971f))
2023-04-19 14:23:24.790@LM_INFO@(12251|12252) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.5d490b4c.00000002.00000302(23daa65e))
2023-04-19 14:23:26.349@LM_INFO@(12251|12252) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.5d490b4c.00000003.00000102(2c8ced6c))
2023-04-19 14:23:49.779@LM_DEBUG@(12251|12265) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:23:49.780@LM_DEBUG@(12251|12264) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:23:49.781@LM_DEBUG@(12251|12266) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:23:49.781@LM_INFO@(12251|12263) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.5d490b4c.00000002.00000102(11ecc4dc))
2023-04-19 14:23:49.781@LM_DEBUG@(12251|12267) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:23:49.782@LM_INFO@(12251|12263) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-19 14:23:49.782@LM_INFO@(12251|12263) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.5d490b4c.00000002.00000202(3ac1971f))
2023-04-19 14:23:49.782@LM_INFO@(12251|12263) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-19 14:23:49.792@LM_INFO@(12251|12263) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 3
  not_alive_count = 1
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.5d490b4c.00000002.00000302(23daa65e))
2023-04-19 14:23:50.149@LM_INFO@(12251|12263) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.5d490b4c.00000003.00000102(2c8ced6c))
2023-04-19 14:23:52.981@LM_INFO@(12251|12252) DataWriterListenerImpl::on_liveliness_lost 0x1d40140 2
2023-04-19 14:23:52.982@LM_INFO@(12251|12252)    total_count=2 total_count_change=1
2023-04-19 14:23:52.982@LM_INFO@(12251|12252) DataWriterListenerImpl::on_liveliness_lost 0x1d75130 2
2023-04-19 14:23:52.982@LM_INFO@(12251|12252)    total_count=2 total_count_change=1
2023-04-19 14:23:52.982@LM_INFO@(12251|12252) DataWriterListenerImpl::on_liveliness_lost 0x1d80520 2
2023-04-19 14:23:52.982@LM_INFO@(12251|12252)    total_count=2 total_count_change=1
2023-04-19 14:23:53.339@LM_INFO@(12251|12252) DataWriterListenerImpl::on_liveliness_lost 0x1d5bb70 2
2023-04-19 14:23:53.339@LM_INFO@(12251|12252)    total_count=2 total_count_change=1
2023-04-19 14:23:54.781@LM_INFO@(12251|12252) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 39 (01030000.5d490b4c.00000002.00000102(11ecc4dc))
2023-04-19 14:23:54.782@LM_INFO@(12251|12252) instance is unregistered
2023-04-19 14:23:54.801@LM_INFO@(12251|12252) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 45 (01030000.5d490b4c.00000002.00000202(3ac1971f))
2023-04-19 14:23:54.811@LM_INFO@(12251|12252) DataReaderListenerImpl::on_liveliness_changed #15
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 48 (01030000.5d490b4c.00000002.00000302(23daa65e))
2023-04-19 14:23:55.149@LM_INFO@(12251|12252) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-19 14:23:55.149@LM_INFO@(12251|12252) DataReaderListenerImpl::on_liveliness_changed #16
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 42 (01030000.5d490b4c.00000003.00000102(2c8ced6c))
2023-04-19 14:23:59.780@LM_DEBUG@(12251|12265) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-19 14:23:59.781@LM_DEBUG@(12251|12264) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-19 14:23:59.781@LM_DEBUG@(12251|12251) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-19 14:23:59.781@LM_DEBUG@(12251|12251) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-19 14:23:59.781@LM_DEBUG@(12251|12251) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-19 14:23:59.781@LM_DEBUG@(12251|12251) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-19 14:23:59.781@LM_DEBUG@(12251|12266) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-19 14:23:59.781@LM_DEBUG@(12251|12251) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-19 14:23:59.781@LM_DEBUG@(12251|12251) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-19 14:23:59.781@LM_DEBUG@(12251|12267) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-19 14:23:59.781@LM_DEBUG@(12251|12251) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-19 14:23:59.782@LM_INFO@(12251|12251) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-19 14:23:59.782@LM_INFO@(12251|12251) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-19 14:23:59.782@LM_INFO@subscriber got 4 of 4 messages, and 16 of 16 callbacks
2023-04-19 14:23:59.782@LM_INFO@(12251|12263) DataReaderListenerImpl::on_liveliness_changed #17
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 39 (01030000.5d490b4c.00000002.00000102(11ecc4dc))
2023-04-19 14:23:59.783@LM_INFO@(12251|12263) DataReaderListenerImpl::on_liveliness_changed #18
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 45 (01030000.5d490b4c.00000002.00000202(3ac1971f))
2023-04-19 14:24:00.805@LM_INFO@(12251|12253) DataReaderListenerImpl::on_liveliness_changed #19
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 39 (01030000.5d490b4c.00000002.00000102(11ecc4dc))
2023-04-19 14:24:00.807@LM_INFO@(12251|12253) DataReaderListenerImpl::on_liveliness_changed #20
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 45 (01030000.5d490b4c.00000002.00000202(3ac1971f))
2023-04-19 14:24:00.808@LM_INFO@(12251|12253) DataReaderListenerImpl::on_liveliness_changed #21
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 48 (01030000.5d490b4c.00000002.00000302(23daa65e))
2023-04-19 14:24:00.817@LM_INFO@(12251|12253) DataReaderListenerImpl::on_liveliness_changed #22
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 42 (01030000.5d490b4c.00000003.00000102(2c8ced6c))
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtps lost Time:41s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_rtps_tcp.ini  -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 12279 started at 2023-04-19 14:24:01
2023-04-19 14:24:01.062@LM_NOTICE@(12279|12279) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
2023-04-19 14:24:01.104@LM_WARNING@(12279|12279) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-19 14:24:01.428@LM_DEBUG@(12279|12279) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-19 14:24:01.428@LM_DEBUG@(12279|12279) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 0103000c.297a35f2.2ff73b44.00000002(ca945c5e)
2023-04-19 14:24:01.428@LM_DEBUG@(12279|12279) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-19 14:24:01.428@LM_DEBUG@(12279|12279) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 0103000c.297a35f2.2ff73b45.00000002(f7f475ee)
2023-04-19 14:24:01.428@LM_DEBUG@(12279|12293) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-19 14:24:01.428@LM_DEBUG@(12279|12279) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-19 14:24:01.428@LM_DEBUG@(12279|12279) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 0103000c.297a35f2.2ff73b44.01000002(72283b3b)
2023-04-19 14:24:01.429@LM_DEBUG@(12279|12279) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-19 14:24:01.429@LM_DEBUG@(12279|12279) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 0103000c.297a35f2.2ff73b44.02000002(609d94d5)
2023-04-19 14:24:01.429@LM_DEBUG@(12279|12279) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-19 14:24:01.429@LM_DEBUG@(12279|12295) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-19 14:24:01.429@LM_INFO@(12279|12292) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 17 (0103000c.297a35f2.2ff73b44.00000002(ca945c5e))
2023-04-19 14:24:01.429@LM_DEBUG@(12279|12296) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-19 14:24:01.429@LM_DEBUG@(12279|12296) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:24:01.430@LM_DEBUG@(12279|12294) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-19 14:24:01.430@LM_DEBUG@(12279|12294) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:24:01.430@LM_DEBUG@(12279|12293) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:24:01.430@LM_DEBUG@(12279|12295) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:24:01.430@LM_INFO@(12279|12292) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 19 (0103000c.297a35f2.2ff73b44.01000002(72283b3b))
2023-04-19 14:24:01.431@LM_INFO@(12279|12292) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-19 14:24:01.431@LM_INFO@(12279|12292) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-19 14:24:01.431@LM_INFO@(12279|12292) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 20 (0103000c.297a35f2.2ff73b44.02000002(609d94d5))
2023-04-19 14:24:02.710@LM_INFO@(12279|12286) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 28 (0103000c.297a35f2.2ff73b45.00000002(f7f475ee))
2023-04-19 14:24:03.430@LM_DEBUG@(12279|12294) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:24:03.430@LM_DEBUG@(12279|12293) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:24:03.430@LM_DEBUG@(12279|12295) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:24:03.431@LM_INFO@(12279|12292) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-19 14:24:03.431@LM_INFO@(12279|12292) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-19 14:24:03.431@LM_DEBUG@(12279|12296) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:24:05.430@LM_DEBUG@(12279|12294) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:24:05.430@LM_DEBUG@(12279|12293) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:24:05.430@LM_DEBUG@(12279|12295) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:24:05.431@LM_INFO@(12279|12292) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-19 14:24:05.431@LM_INFO@(12279|12292) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-19 14:24:05.432@LM_DEBUG@(12279|12296) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:24:07.430@LM_DEBUG@(12279|12294) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:24:07.431@LM_DEBUG@(12279|12295) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:24:07.431@LM_DEBUG@(12279|12293) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:24:07.432@LM_INFO@(12279|12292) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-19 14:24:07.432@LM_INFO@(12279|12292) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-19 14:24:07.432@LM_DEBUG@(12279|12296) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:24:09.431@LM_DEBUG@(12279|12294) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:24:09.431@LM_DEBUG@(12279|12293) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:24:09.431@LM_DEBUG@(12279|12295) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:24:09.432@LM_INFO@(12279|12292) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-19 14:24:09.432@LM_INFO@(12279|12292) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-19 14:24:09.432@LM_DEBUG@(12279|12296) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:24:11.431@LM_DEBUG@(12279|12294) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:24:11.432@LM_DEBUG@(12279|12293) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:24:11.432@LM_DEBUG@(12279|12295) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:24:11.432@LM_INFO@(12279|12292) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-19 14:24:11.433@LM_DEBUG@(12279|12296) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:24:11.433@LM_INFO@(12279|12292) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-19 14:24:13.431@LM_DEBUG@(12279|12294) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:24:13.432@LM_DEBUG@(12279|12293) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:24:13.432@LM_DEBUG@(12279|12295) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:24:13.433@LM_INFO@(12279|12292) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-19 14:24:13.433@LM_DEBUG@(12279|12296) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:24:13.433@LM_INFO@(12279|12292) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-19 14:24:15.431@LM_DEBUG@(12279|12294) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:24:15.433@LM_DEBUG@(12279|12293) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:24:15.433@LM_DEBUG@(12279|12296) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:24:15.433@LM_DEBUG@(12279|12295) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:24:15.433@LM_INFO@(12279|12292) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-19 14:24:15.434@LM_INFO@(12279|12292) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-19 14:24:17.432@LM_DEBUG@(12279|12294) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:24:17.433@LM_DEBUG@(12279|12293) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:24:17.433@LM_DEBUG@(12279|12296) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:24:17.434@LM_DEBUG@(12279|12295) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:24:17.434@LM_INFO@(12279|12292) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-19 14:24:17.434@LM_INFO@(12279|12292) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-19 14:24:19.432@LM_DEBUG@(12279|12294) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:24:19.434@LM_DEBUG@(12279|12293) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:24:19.434@LM_DEBUG@(12279|12296) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:24:19.434@LM_DEBUG@(12279|12295) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:24:19.434@LM_INFO@(12279|12292) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-19 14:24:19.434@LM_INFO@(12279|12292) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-19 14:24:21.432@LM_DEBUG@(12279|12294) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-19 14:24:21.434@LM_DEBUG@(12279|12296) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-19 14:24:21.435@LM_DEBUG@(12279|12293) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-19 14:24:21.435@LM_DEBUG@(12279|12295) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-19 14:24:21.435@LM_DEBUG@(12279|12279) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-19 14:24:21.435@LM_DEBUG@(12279|12279) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-19 14:24:21.435@LM_DEBUG@(12279|12279) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-19 14:24:21.435@LM_DEBUG@(12279|12279) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-19 14:24:21.435@LM_DEBUG@(12279|12279) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-19 14:24:21.435@LM_DEBUG@(12279|12279) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-19 14:24:21.435@LM_DEBUG@(12279|12279) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-19 14:24:21.435@LM_INFO@(12279|12279) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-19 14:24:22.634@LM_INFO@(12279|12280) DataWriterListenerImpl::on_liveliness_lost 0xbf26d0 1
2023-04-19 14:24:22.634@LM_INFO@(12279|12280)    total_count=1 total_count_change=1
2023-04-19 14:24:22.646@LM_INFO@(12279|12280) DataWriterListenerImpl::on_liveliness_lost 0xc9b950 1
2023-04-19 14:24:22.646@LM_INFO@(12279|12280)    total_count=1 total_count_change=1
2023-04-19 14:24:22.646@LM_INFO@(12279|12280) DataWriterListenerImpl::on_liveliness_lost 0xc90710 1
2023-04-19 14:24:22.646@LM_INFO@(12279|12280)    total_count=1 total_count_change=1
2023-04-19 14:24:23.510@LM_INFO@(12279|12280) DataWriterListenerImpl::on_liveliness_lost 0xc4a5a0 1
2023-04-19 14:24:23.510@LM_INFO@(12279|12280)    total_count=1 total_count_change=1
2023-04-19 14:24:25.308@LM_INFO@(12279|12280) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 17 (0103000c.297a35f2.2ff73b44.00000002(ca945c5e))
2023-04-19 14:24:25.308@LM_INFO@(12279|12280) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 19 (0103000c.297a35f2.2ff73b44.01000002(72283b3b))
2023-04-19 14:24:25.308@LM_INFO@(12279|12280) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 20 (0103000c.297a35f2.2ff73b44.02000002(609d94d5))
2023-04-19 14:24:25.318@LM_INFO@(12279|12280) instance is unregistered
2023-04-19 14:24:25.318@LM_INFO@(12279|12280) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-19 14:24:25.318@LM_INFO@(12279|12279) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-19 14:24:25.318@LM_INFO@subscriber got 20 of 20 messages, and 8 of 8 callbacks
2023-04-19 14:24:25.319@LM_INFO@(12279|12292) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 17 (0103000c.297a35f2.2ff73b44.00000002(ca945c5e))
2023-04-19 14:24:25.319@LM_INFO@(12279|12280) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.2ff73b45.00000002(f7f475ee))
2023-04-19 14:24:25.319@LM_INFO@(12279|12292) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 19 (0103000c.297a35f2.2ff73b44.01000002(72283b3b))
2023-04-19 14:24:25.322@LM_INFO@(12279|12285) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 17 (0103000c.297a35f2.2ff73b44.00000002(ca945c5e))
2023-04-19 14:24:25.323@LM_INFO@(12279|12285) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 19 (0103000c.297a35f2.2ff73b44.01000002(72283b3b))
2023-04-19 14:24:25.325@LM_INFO@(12279|12285) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 20 (0103000c.297a35f2.2ff73b44.02000002(609d94d5))
2023-04-19 14:24:25.335@LM_INFO@(12279|12285) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.2ff73b45.00000002(f7f475ee))
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco Time:25s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco lost #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_rtps_tcp.ini -l -n 4 -t 10 -c 8 -N 4 -C 16 -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 12301 started at 2023-04-19 14:24:25
2023-04-19 14:24:25.461@LM_NOTICE@(12301|12301) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
2023-04-19 14:24:25.499@LM_WARNING@(12301|12301) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
2023-04-19 14:24:25.826@LM_DEBUG@(12301|12301) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-19 14:24:25.826@LM_DEBUG@(12301|12301) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 0103000c.297a35f2.300dc880.00000002(20923fe2)
2023-04-19 14:24:25.826@LM_DEBUG@(12301|12301) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-19 14:24:25.826@LM_DEBUG@(12301|12301) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 0103000c.297a35f2.300dc881.00000002(1df21652)
2023-04-19 14:24:25.826@LM_DEBUG@(12301|12315) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-19 14:24:25.826@LM_DEBUG@(12301|12301) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-19 14:24:25.826@LM_DEBUG@(12301|12301) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 0103000c.297a35f2.300dc880.01000002(982e5887)
2023-04-19 14:24:25.826@LM_DEBUG@(12301|12301) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-19 14:24:25.826@LM_DEBUG@(12301|12301) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 0103000c.297a35f2.300dc880.02000002(8a9bf769)
2023-04-19 14:24:25.826@LM_DEBUG@(12301|12301) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-19 14:24:25.826@LM_DEBUG@(12301|12318) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-19 14:24:25.826@LM_DEBUG@(12301|12318) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:24:25.826@LM_DEBUG@(12301|12317) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-19 14:24:25.826@LM_DEBUG@(12301|12315) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:24:25.827@LM_DEBUG@(12301|12317) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:24:25.827@LM_INFO@(12301|12314) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 23 (0103000c.297a35f2.300dc880.00000002(20923fe2))
2023-04-19 14:24:25.827@LM_INFO@(12301|12314) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 25 (0103000c.297a35f2.300dc880.01000002(982e5887))
2023-04-19 14:24:25.828@LM_INFO@(12301|12314) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-19 14:24:25.828@LM_INFO@(12301|12314) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 27 (0103000c.297a35f2.300dc880.02000002(8a9bf769))
2023-04-19 14:24:25.828@LM_INFO@(12301|12314) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-19 14:24:25.828@LM_DEBUG@(12301|12316) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-19 14:24:25.828@LM_DEBUG@(12301|12316) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:24:27.105@LM_INFO@(12301|12308) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 20 (0103000c.297a35f2.300dc881.00000002(1df21652))
2023-04-19 14:24:29.027@LM_INFO@(12301|12302) DataWriterListenerImpl::on_liveliness_lost 0xf642f0 1
2023-04-19 14:24:29.027@LM_INFO@(12301|12302)    total_count=1 total_count_change=1
2023-04-19 14:24:29.027@LM_INFO@(12301|12302) DataWriterListenerImpl::on_liveliness_lost 0xebbc70 1
2023-04-19 14:24:29.027@LM_INFO@(12301|12302)    total_count=1 total_count_change=1
2023-04-19 14:24:29.027@LM_INFO@(12301|12302) DataWriterListenerImpl::on_liveliness_lost 0xf59010 1
2023-04-19 14:24:29.027@LM_INFO@(12301|12302)    total_count=1 total_count_change=1
2023-04-19 14:24:30.304@LM_INFO@(12301|12302) DataWriterListenerImpl::on_liveliness_lost 0xf131d0 1
2023-04-19 14:24:30.304@LM_INFO@(12301|12302)    total_count=1 total_count_change=1
2023-04-19 14:24:32.095@LM_INFO@(12301|12302) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 23 (0103000c.297a35f2.300dc880.00000002(20923fe2))
2023-04-19 14:24:32.096@LM_INFO@(12301|12302) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 25 (0103000c.297a35f2.300dc880.01000002(982e5887))
2023-04-19 14:24:32.096@LM_INFO@(12301|12302) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 27 (0103000c.297a35f2.300dc880.02000002(8a9bf769))
2023-04-19 14:24:32.106@LM_INFO@(12301|12302) instance is unregistered
2023-04-19 14:24:32.106@LM_INFO@(12301|12302) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 20 (0103000c.297a35f2.300dc881.00000002(1df21652))
2023-04-19 14:24:55.827@LM_DEBUG@(12301|12317) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:24:55.827@LM_DEBUG@(12301|12318) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:24:55.828@LM_INFO@(12301|12314) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 27 (0103000c.297a35f2.300dc880.02000002(8a9bf769))
2023-04-19 14:24:55.828@LM_INFO@(12301|12314) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 25 (0103000c.297a35f2.300dc880.01000002(982e5887))
2023-04-19 14:24:55.828@LM_INFO@(12301|12314) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-19 14:24:55.828@LM_DEBUG@(12301|12315) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:24:55.829@LM_INFO@(12301|12314) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 3
  not_alive_count = 1
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 23 (0103000c.297a35f2.300dc880.00000002(20923fe2))
2023-04-19 14:24:55.829@LM_INFO@(12301|12314) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-19 14:24:55.829@LM_DEBUG@(12301|12316) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:24:55.908@LM_INFO@(12301|12308) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 20 (0103000c.297a35f2.300dc881.00000002(1df21652))
2023-04-19 14:24:59.029@LM_INFO@(12301|12302) DataWriterListenerImpl::on_liveliness_lost 0xf642f0 2
2023-04-19 14:24:59.029@LM_INFO@(12301|12302)    total_count=2 total_count_change=1
2023-04-19 14:24:59.029@LM_INFO@(12301|12302) DataWriterListenerImpl::on_liveliness_lost 0xf59010 2
2023-04-19 14:24:59.029@LM_INFO@(12301|12302)    total_count=2 total_count_change=1
2023-04-19 14:24:59.029@LM_INFO@(12301|12302) DataWriterListenerImpl::on_liveliness_lost 0xebbc70 2
2023-04-19 14:24:59.029@LM_INFO@(12301|12302)    total_count=2 total_count_change=1
2023-04-19 14:24:59.104@LM_INFO@(12301|12302) DataWriterListenerImpl::on_liveliness_lost 0xf131d0 2
2023-04-19 14:24:59.104@LM_INFO@(12301|12302)    total_count=2 total_count_change=1
2023-04-19 14:25:00.908@LM_INFO@(12301|12302) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 23 (0103000c.297a35f2.300dc880.00000002(20923fe2))
2023-04-19 14:25:00.908@LM_INFO@(12301|12302) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 25 (0103000c.297a35f2.300dc880.01000002(982e5887))
2023-04-19 14:25:00.908@LM_INFO@(12301|12302) DataReaderListenerImpl::on_liveliness_changed #15
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 27 (0103000c.297a35f2.300dc880.02000002(8a9bf769))
2023-04-19 14:25:00.909@LM_INFO@(12301|12302) instance is unregistered
2023-04-19 14:25:00.909@LM_INFO@(12301|12302) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-19 14:25:00.909@LM_INFO@(12301|12302) DataReaderListenerImpl::on_liveliness_changed #16
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 20 (0103000c.297a35f2.300dc881.00000002(1df21652))
2023-04-19 14:25:05.828@LM_DEBUG@(12301|12318) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-19 14:25:05.828@LM_DEBUG@(12301|12317) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-19 14:25:05.829@LM_DEBUG@(12301|12315) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-19 14:25:05.829@LM_DEBUG@(12301|12316) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-19 14:25:05.829@LM_DEBUG@(12301|12301) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-19 14:25:05.829@LM_DEBUG@(12301|12301) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-19 14:25:05.829@LM_DEBUG@(12301|12301) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-19 14:25:05.829@LM_DEBUG@(12301|12301) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-19 14:25:05.829@LM_DEBUG@(12301|12301) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-19 14:25:05.829@LM_DEBUG@(12301|12301) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-19 14:25:05.829@LM_DEBUG@(12301|12301) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-19 14:25:05.829@LM_INFO@(12301|12301) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-19 14:25:05.829@LM_INFO@(12301|12301) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-19 14:25:05.829@LM_INFO@subscriber got 4 of 4 messages, and 16 of 16 callbacks
2023-04-19 14:25:05.832@LM_INFO@(12301|12307) DataReaderListenerImpl::on_liveliness_changed #17
  alive_count = 0
  not_alive_count = 3
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 23 (0103000c.297a35f2.300dc880.00000002(20923fe2))
2023-04-19 14:25:05.832@LM_INFO@(12301|12307) DataReaderListenerImpl::on_liveliness_changed #18
  alive_count = 0
  not_alive_count = 2
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 25 (0103000c.297a35f2.300dc880.01000002(982e5887))
2023-04-19 14:25:05.832@LM_INFO@(12301|12307) DataReaderListenerImpl::on_liveliness_changed #19
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 27 (0103000c.297a35f2.300dc880.02000002(8a9bf769))
2023-04-19 14:25:05.840@LM_INFO@(12301|12307) DataReaderListenerImpl::on_liveliness_changed #20
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 20 (0103000c.297a35f2.300dc881.00000002(1df21652))
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco lost Time:40s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_rtps_rtps.ini  -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 12329 started at 2023-04-19 14:25:06
2023-04-19 14:25:06.036@LM_NOTICE@(12329|12329) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
2023-04-19 14:25:06.406@LM_DEBUG@(12329|12329) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-19 14:25:06.406@LM_DEBUG@(12329|12329) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 0103000c.297a35f2.302975de.00000002(3a17536c)
2023-04-19 14:25:06.406@LM_DEBUG@(12329|12329) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-19 14:25:06.406@LM_DEBUG@(12329|12329) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 0103000c.297a35f2.302975df.00000002(07777adc)
2023-04-19 14:25:06.406@LM_DEBUG@(12329|12343) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-19 14:25:06.406@LM_DEBUG@(12329|12329) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-19 14:25:06.406@LM_DEBUG@(12329|12329) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 0103000c.297a35f2.302975de.01000002(82ab3409)
2023-04-19 14:25:06.406@LM_DEBUG@(12329|12329) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-19 14:25:06.406@LM_DEBUG@(12329|12329) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 0103000c.297a35f2.302975de.02000002(901e9be7)
2023-04-19 14:25:06.406@LM_DEBUG@(12329|12329) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-19 14:25:06.406@LM_DEBUG@(12329|12345) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-19 14:25:06.406@LM_DEBUG@(12329|12343) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:25:06.407@LM_INFO@(12329|12342) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 23 (0103000c.297a35f2.302975de.00000002(3a17536c))
2023-04-19 14:25:06.407@LM_DEBUG@(12329|12344) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-19 14:25:06.407@LM_DEBUG@(12329|12344) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:25:06.407@LM_DEBUG@(12329|12345) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:25:06.407@LM_INFO@(12329|12342) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-19 14:25:06.406@LM_DEBUG@(12329|12346) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-19 14:25:06.407@LM_DEBUG@(12329|12346) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:25:06.407@LM_INFO@(12329|12342) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 26 (0103000c.297a35f2.302975de.01000002(82ab3409))
2023-04-19 14:25:06.408@LM_INFO@(12329|12342) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-19 14:25:06.418@LM_INFO@(12329|12342) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 28 (0103000c.297a35f2.302975de.02000002(901e9be7))
2023-04-19 14:25:07.672@LM_INFO@(12329|12336) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 19 (0103000c.297a35f2.302975df.00000002(07777adc))
2023-04-19 14:25:08.407@LM_DEBUG@(12329|12343) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:25:08.407@LM_DEBUG@(12329|12344) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:25:08.408@LM_INFO@(12329|12342) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-19 14:25:08.408@LM_DEBUG@(12329|12345) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:25:08.408@LM_DEBUG@(12329|12346) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:25:08.408@LM_INFO@(12329|12342) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-19 14:25:10.407@LM_DEBUG@(12329|12344) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:25:10.408@LM_DEBUG@(12329|12343) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:25:10.408@LM_DEBUG@(12329|12346) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:25:10.409@LM_DEBUG@(12329|12345) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:25:10.409@LM_INFO@(12329|12342) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-19 14:25:10.409@LM_INFO@(12329|12342) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 2
         text       = Worst. Movie. Ever.
2023-04-19 14:25:12.408@LM_DEBUG@(12329|12344) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:25:12.408@LM_DEBUG@(12329|12343) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:25:12.409@LM_DEBUG@(12329|12346) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:25:12.409@LM_DEBUG@(12329|12345) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:25:12.409@LM_INFO@(12329|12342) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-19 14:25:12.409@LM_INFO@(12329|12342) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 3
         text       = Worst. Movie. Ever.
2023-04-19 14:25:14.408@LM_DEBUG@(12329|12344) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:25:14.409@LM_DEBUG@(12329|12346) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:25:14.409@LM_DEBUG@(12329|12343) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:25:14.409@LM_DEBUG@(12329|12345) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:25:14.410@LM_INFO@(12329|12342) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-19 14:25:14.410@LM_INFO@(12329|12342) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 4
         text       = Worst. Movie. Ever.
2023-04-19 14:25:16.408@LM_DEBUG@(12329|12344) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:25:16.409@LM_DEBUG@(12329|12346) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:25:16.409@LM_DEBUG@(12329|12343) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:25:16.410@LM_DEBUG@(12329|12345) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:25:16.410@LM_INFO@(12329|12342) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-19 14:25:16.411@LM_INFO@(12329|12342) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 5
         text       = Worst. Movie. Ever.
2023-04-19 14:25:18.408@LM_DEBUG@(12329|12344) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:25:18.410@LM_DEBUG@(12329|12346) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:25:18.410@LM_DEBUG@(12329|12343) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:25:18.410@LM_DEBUG@(12329|12345) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:25:18.411@LM_INFO@(12329|12342) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-19 14:25:18.411@LM_INFO@(12329|12342) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 6
         text       = Worst. Movie. Ever.
2023-04-19 14:25:20.409@LM_DEBUG@(12329|12344) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:25:20.410@LM_DEBUG@(12329|12346) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:25:20.411@LM_DEBUG@(12329|12345) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:25:20.411@LM_DEBUG@(12329|12343) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:25:20.412@LM_INFO@(12329|12342) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-19 14:25:20.412@LM_INFO@(12329|12342) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 7
         text       = Worst. Movie. Ever.
2023-04-19 14:25:22.409@LM_DEBUG@(12329|12344) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:25:22.410@LM_DEBUG@(12329|12346) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:25:22.411@LM_DEBUG@(12329|12345) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:25:22.411@LM_DEBUG@(12329|12343) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:25:22.412@LM_INFO@(12329|12342) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-19 14:25:22.413@LM_INFO@(12329|12342) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 8
         text       = Worst. Movie. Ever.
2023-04-19 14:25:24.409@LM_DEBUG@(12329|12344) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:25:24.411@LM_DEBUG@(12329|12346) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:25:24.412@LM_DEBUG@(12329|12345) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:25:24.413@LM_INFO@(12329|12342) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-19 14:25:24.413@LM_DEBUG@(12329|12343) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:25:24.413@LM_INFO@(12329|12342) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 9
         text       = Worst. Movie. Ever.
2023-04-19 14:25:26.410@LM_DEBUG@(12329|12344) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-19 14:25:26.411@LM_DEBUG@(12329|12346) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-19 14:25:26.413@LM_DEBUG@(12329|12345) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-19 14:25:26.413@LM_DEBUG@(12329|12343) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-19 14:25:26.413@LM_DEBUG@(12329|12329) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-19 14:25:26.413@LM_DEBUG@(12329|12329) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-19 14:25:26.413@LM_DEBUG@(12329|12329) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-19 14:25:26.413@LM_DEBUG@(12329|12329) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-19 14:25:26.413@LM_DEBUG@(12329|12329) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-19 14:25:26.413@LM_DEBUG@(12329|12329) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-19 14:25:26.414@LM_DEBUG@(12329|12329) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-19 14:25:26.414@LM_INFO@(12329|12329) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-19 14:25:27.612@LM_INFO@(12329|12330) DataWriterListenerImpl::on_liveliness_lost 0x850010 1
2023-04-19 14:25:27.612@LM_INFO@(12329|12330)    total_count=1 total_count_change=1
2023-04-19 14:25:27.612@LM_INFO@(12329|12330) DataWriterListenerImpl::on_liveliness_lost 0x844c40 1
2023-04-19 14:25:27.612@LM_INFO@(12329|12330)    total_count=1 total_count_change=1
2023-04-19 14:25:27.613@LM_INFO@(12329|12330) DataWriterListenerImpl::on_liveliness_lost 0x80d7a0 1
2023-04-19 14:25:27.613@LM_INFO@(12329|12330)    total_count=1 total_count_change=1
2023-04-19 14:25:28.475@LM_INFO@(12329|12330) DataWriterListenerImpl::on_liveliness_lost 0x82ad30 1
2023-04-19 14:25:28.475@LM_INFO@(12329|12330)    total_count=1 total_count_change=1
2023-04-19 14:25:30.279@LM_INFO@(12329|12330) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 23 (0103000c.297a35f2.302975de.00000002(3a17536c))
2023-04-19 14:25:30.279@LM_INFO@(12329|12330) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 26 (0103000c.297a35f2.302975de.01000002(82ab3409))
2023-04-19 14:25:30.279@LM_INFO@(12329|12330) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.302975de.02000002(901e9be7))
2023-04-19 14:25:30.279@LM_INFO@(12329|12330) instance is unregistered
2023-04-19 14:25:30.279@LM_INFO@(12329|12330) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-19 14:25:30.279@LM_INFO@(12329|12330) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 19 (0103000c.297a35f2.302975df.00000002(07777adc))
2023-04-19 14:25:30.279@LM_INFO@(12329|12329) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-19 14:25:30.291@LM_INFO@subscriber got 20 of 20 messages, and 8 of 8 callbacks
2023-04-19 14:25:30.292@LM_INFO@(12329|12342) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 23 (0103000c.297a35f2.302975de.00000002(3a17536c))
2023-04-19 14:25:30.293@LM_INFO@(12329|12342) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 26 (0103000c.297a35f2.302975de.01000002(82ab3409))
2023-04-19 14:25:31.315@LM_INFO@(12329|12335) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 23 (0103000c.297a35f2.302975de.00000002(3a17536c))
2023-04-19 14:25:31.316@LM_INFO@(12329|12335) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 26 (0103000c.297a35f2.302975de.01000002(82ab3409))
2023-04-19 14:25:31.316@LM_INFO@(12329|12335) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.302975de.02000002(901e9be7))
2023-04-19 14:25:31.322@LM_INFO@(12329|12335) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 19 (0103000c.297a35f2.302975df.00000002(07777adc))
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco rtps Time:26s Result:0

==============================================================================

tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco rtps lost #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManualAssertLiveliness/publisher -DCPSConfigFile pub_rtps_rtps.ini -l -n 4 -t 10 -c 8 -N 4 -C 16 -ORBVerboseLogging 1 -DCPSPendingTimeout 3 
pub PID: 12355 started at 2023-04-19 14:25:31
2023-04-19 14:25:31.456@LM_NOTICE@(12355|12355) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
2023-04-19 14:25:31.826@LM_DEBUG@(12355|12355) Writer_Base::start Manual_By_Participant_Sample_Writer_1
2023-04-19 14:25:31.827@LM_DEBUG@(12355|12355) Writer_Base::start Manual_By_Participant_Sample_Writer_1 is 0103000c.297a35f2.304360d9.00000002(f4ea0fff)
2023-04-19 14:25:31.827@LM_DEBUG@(12355|12355) Writer_Base::start Manual_By_Participant_Assert_Writer_2
2023-04-19 14:25:31.827@LM_DEBUG@(12355|12355) Writer_Base::start Manual_By_Participant_Assert_Writer_2 is 0103000c.297a35f2.304360da.00000002(b34a752f)
2023-04-19 14:25:31.827@LM_DEBUG@(12355|12369) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 begins
2023-04-19 14:25:31.827@LM_DEBUG@(12355|12355) Writer_Base::start Manual_By_Topic_Sample_Writer_1
2023-04-19 14:25:31.827@LM_DEBUG@(12355|12355) Writer_Base::start Manual_By_Topic_Sample_Writer_1 is 0103000c.297a35f2.304360d9.01000002(4c56689a)
2023-04-19 14:25:31.827@LM_DEBUG@(12355|12355) Writer_Base::start Manual_By_Topic_Assert_Writer_2
2023-04-19 14:25:31.827@LM_DEBUG@(12355|12355) Writer_Base::start Manual_By_Topic_Assert_Writer_2 is 0103000c.297a35f2.304360d9.02000002(5ee3c774)
2023-04-19 14:25:31.827@LM_DEBUG@(12355|12355) Writer_Base::end Manual_By_Participant_Sample_Writer_1, calling wait
2023-04-19 14:25:31.827@LM_DEBUG@(12355|12372) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 begins
2023-04-19 14:25:31.827@LM_DEBUG@(12355|12372) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:25:31.827@LM_DEBUG@(12355|12370) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 begins
2023-04-19 14:25:31.827@LM_DEBUG@(12355|12370) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:25:31.828@LM_DEBUG@(12355|12371) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 begins
2023-04-19 14:25:31.828@LM_INFO@(12355|12368) DataReaderListenerImpl::on_liveliness_changed #1
  alive_count = 1
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 24 (0103000c.297a35f2.304360d9.00000002(f4ea0fff))
2023-04-19 14:25:31.828@LM_DEBUG@(12355|12369) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:25:31.829@LM_INFO@(12355|12368) DataReaderListenerImpl::on_liveliness_changed #2
  alive_count = 2
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 26 (0103000c.297a35f2.304360d9.01000002(4c56689a))
2023-04-19 14:25:31.829@LM_DEBUG@(12355|12371) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:25:31.829@LM_INFO@(12355|12368) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-19 14:25:31.830@LM_INFO@(12355|12368) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 0
         text       = Worst. Movie. Ever.
2023-04-19 14:25:31.839@LM_INFO@(12355|12368) DataReaderListenerImpl::on_liveliness_changed #3
  alive_count = 3
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 28 (0103000c.297a35f2.304360d9.02000002(5ee3c774))
2023-04-19 14:25:33.091@LM_INFO@(12355|12362) DataReaderListenerImpl::on_liveliness_changed #4
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = 0
  last_publication_handle = 22 (0103000c.297a35f2.304360da.00000002(b34a752f))
2023-04-19 14:25:35.028@LM_INFO@(12355|12356) DataWriterListenerImpl::on_liveliness_lost 0x14eb090 1
2023-04-19 14:25:35.028@LM_INFO@(12355|12356)    total_count=1 total_count_change=1
2023-04-19 14:25:35.029@LM_INFO@(12355|12356) DataWriterListenerImpl::on_liveliness_lost 0x14a8850 1
2023-04-19 14:25:35.029@LM_INFO@(12355|12356)    total_count=1 total_count_change=1
2023-04-19 14:25:35.029@LM_INFO@(12355|12356) DataWriterListenerImpl::on_liveliness_lost 0x14dfcc0 1
2023-04-19 14:25:35.029@LM_INFO@(12355|12356)    total_count=1 total_count_change=1
2023-04-19 14:25:36.292@LM_INFO@(12355|12356) DataWriterListenerImpl::on_liveliness_lost 0x14c5df0 1
2023-04-19 14:25:36.292@LM_INFO@(12355|12356)    total_count=1 total_count_change=1
2023-04-19 14:25:38.090@LM_INFO@(12355|12356) DataReaderListenerImpl::on_liveliness_changed #5
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 24 (0103000c.297a35f2.304360d9.00000002(f4ea0fff))
2023-04-19 14:25:38.090@LM_INFO@(12355|12356) DataReaderListenerImpl::on_liveliness_changed #6
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 26 (0103000c.297a35f2.304360d9.01000002(4c56689a))
2023-04-19 14:25:38.090@LM_INFO@(12355|12356) DataReaderListenerImpl::on_liveliness_changed #7
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.304360d9.02000002(5ee3c774))
2023-04-19 14:25:38.092@LM_INFO@(12355|12356) instance is unregistered
2023-04-19 14:25:38.092@LM_INFO@(12355|12356) DataReaderListenerImpl::on_liveliness_changed #8
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 22 (0103000c.297a35f2.304360da.00000002(b34a752f))
2023-04-19 14:26:01.828@LM_DEBUG@(12355|12370) Manual_By_Participant_Assert_Writer_2 Assert_Participant_Liveliness calling assert_liveliness
2023-04-19 14:26:01.829@LM_DEBUG@(12355|12372) Manual_By_Topic_Assert_Writer_2 Assert_Writer_Liveliness calling assert_liveliness
2023-04-19 14:26:01.830@LM_DEBUG@(12355|12369) Manual_By_Participant_Sample_Writer_1 writing msg
2023-04-19 14:26:01.831@LM_DEBUG@(12355|12371) Manual_By_Topic_Sample_Writer_1 writing msg
2023-04-19 14:26:01.831@LM_INFO@(12355|12368) DataReaderListenerImpl::on_liveliness_changed #9
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 24 (0103000c.297a35f2.304360d9.00000002(f4ea0fff))
2023-04-19 14:26:01.831@LM_INFO@(12355|12368) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Participant_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-19 14:26:01.831@LM_INFO@(12355|12368) DataReaderListenerImpl::on_liveliness_changed #10
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 26 (0103000c.297a35f2.304360d9.01000002(4c56689a))
2023-04-19 14:26:01.831@LM_INFO@(12355|12368) Message: subject    = Review
         subject_id = 99
         from       = Manual_By_Topic_Sample_Writer_1
         count      = 1
         text       = Worst. Movie. Ever.
2023-04-19 14:26:01.840@LM_INFO@(12355|12368) DataReaderListenerImpl::on_liveliness_changed #11
  alive_count = 3
  not_alive_count = 1
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.304360d9.02000002(5ee3c774))
2023-04-19 14:26:01.896@LM_INFO@(12355|12362) DataReaderListenerImpl::on_liveliness_changed #12
  alive_count = 4
  not_alive_count = 0
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 22 (0103000c.297a35f2.304360da.00000002(b34a752f))
2023-04-19 14:26:05.030@LM_INFO@(12355|12356) DataWriterListenerImpl::on_liveliness_lost 0x14eb090 2
2023-04-19 14:26:05.031@LM_INFO@(12355|12356)    total_count=2 total_count_change=1
2023-04-19 14:26:05.031@LM_INFO@(12355|12356) DataWriterListenerImpl::on_liveliness_lost 0x14a8850 2
2023-04-19 14:26:05.031@LM_INFO@(12355|12356)    total_count=2 total_count_change=1
2023-04-19 14:26:05.031@LM_INFO@(12355|12356) DataWriterListenerImpl::on_liveliness_lost 0x14dfcc0 2
2023-04-19 14:26:05.031@LM_INFO@(12355|12356)    total_count=2 total_count_change=1
2023-04-19 14:26:05.091@LM_INFO@(12355|12356) DataWriterListenerImpl::on_liveliness_lost 0x14c5df0 2
2023-04-19 14:26:05.091@LM_INFO@(12355|12356)    total_count=2 total_count_change=1
2023-04-19 14:26:06.896@LM_INFO@(12355|12356) DataReaderListenerImpl::on_liveliness_changed #13
  alive_count = 3
  not_alive_count = 1
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 24 (0103000c.297a35f2.304360d9.00000002(f4ea0fff))
2023-04-19 14:26:06.896@LM_INFO@(12355|12356) DataReaderListenerImpl::on_liveliness_changed #14
  alive_count = 2
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 26 (0103000c.297a35f2.304360d9.01000002(4c56689a))
2023-04-19 14:26:06.913@LM_INFO@(12355|12356) DataReaderListenerImpl::on_liveliness_changed #15
  alive_count = 1
  not_alive_count = 3
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 28 (0103000c.297a35f2.304360d9.02000002(5ee3c774))
2023-04-19 14:26:06.914@LM_INFO@(12355|12356) instance is unregistered
2023-04-19 14:26:06.914@LM_INFO@(12355|12356) InMemoryDistributedConditionSet subscriber posting callbacks_done
2023-04-19 14:26:06.914@LM_INFO@(12355|12356) DataReaderListenerImpl::on_liveliness_changed #16
  alive_count = 0
  not_alive_count = 4
  alive_count_change = -1
  not_alive_count_change = 1
  last_publication_handle = 22 (0103000c.297a35f2.304360da.00000002(b34a752f))
2023-04-19 14:26:11.828@LM_DEBUG@(12355|12370) Writer_Base::svc() Manual_By_Participant_Assert_Writer_2 finished
2023-04-19 14:26:11.829@LM_DEBUG@(12355|12372) Writer_Base::svc() Manual_By_Topic_Assert_Writer_2 finished
2023-04-19 14:26:11.831@LM_DEBUG@(12355|12369) Writer_Base::svc() Manual_By_Participant_Sample_Writer_1 finished
2023-04-19 14:26:11.831@LM_DEBUG@(12355|12355) Writer_Base::end Manual_By_Participant_Sample_Writer_1, wait finished
2023-04-19 14:26:11.831@LM_DEBUG@(12355|12355) Writer_Base::end Manual_By_Participant_Assert_Writer_2, calling wait
2023-04-19 14:26:11.831@LM_DEBUG@(12355|12355) Writer_Base::end Manual_By_Participant_Assert_Writer_2, wait finished
2023-04-19 14:26:11.831@LM_DEBUG@(12355|12355) Writer_Base::end Manual_By_Topic_Sample_Writer_1, calling wait
2023-04-19 14:26:11.831@LM_DEBUG@(12355|12371) Writer_Base::svc() Manual_By_Topic_Sample_Writer_1 finished
2023-04-19 14:26:11.831@LM_DEBUG@(12355|12355) Writer_Base::end Manual_By_Topic_Sample_Writer_1, wait finished
2023-04-19 14:26:11.831@LM_DEBUG@(12355|12355) Writer_Base::end Manual_By_Topic_Assert_Writer_2, calling wait
2023-04-19 14:26:11.831@LM_DEBUG@(12355|12355) Writer_Base::end Manual_By_Topic_Assert_Writer_2, wait finished
2023-04-19 14:26:11.831@LM_INFO@(12355|12355) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done
2023-04-19 14:26:11.831@LM_INFO@(12355|12355) InMemoryDistributedConditionSet driver waiting_for subscriber callbacks_done done
2023-04-19 14:26:11.831@LM_INFO@subscriber got 4 of 4 messages, and 16 of 16 callbacks
2023-04-19 14:26:11.832@LM_INFO@(12355|12368) DataReaderListenerImpl::on_liveliness_changed #17
  alive_count = 1
  not_alive_count = 3
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 24 (0103000c.297a35f2.304360d9.00000002(f4ea0fff))
2023-04-19 14:26:11.832@LM_INFO@(12355|12368) DataReaderListenerImpl::on_liveliness_changed #18
  alive_count = 2
  not_alive_count = 2
  alive_count_change = 1
  not_alive_count_change = -1
  last_publication_handle = 26 (0103000c.297a35f2.304360d9.01000002(4c56689a))
2023-04-19 14:26:12.856@LM_INFO@(12355|12361) DataReaderListenerImpl::on_liveliness_changed #19
  alive_count = 1
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 24 (0103000c.297a35f2.304360d9.00000002(f4ea0fff))
2023-04-19 14:26:12.856@LM_INFO@(12355|12361) DataReaderListenerImpl::on_liveliness_changed #20
  alive_count = 0
  not_alive_count = 2
  alive_count_change = -1
  not_alive_count_change = 0
  last_publication_handle = 26 (0103000c.297a35f2.304360d9.01000002(4c56689a))
2023-04-19 14:26:12.856@LM_INFO@(12355|12361) DataReaderListenerImpl::on_liveliness_changed #21
  alive_count = 0
  not_alive_count = 1
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 28 (0103000c.297a35f2.304360d9.02000002(5ee3c774))
2023-04-19 14:26:12.863@LM_INFO@(12355|12361) DataReaderListenerImpl::on_liveliness_changed #22
  alive_count = 0
  not_alive_count = 0
  alive_count_change = 0
  not_alive_count_change = -1
  last_publication_handle = 22 (0103000c.297a35f2.304360da.00000002(b34a752f))
test PASSED.

auto_run_tests_finished: tests/DCPS/ManualAssertLiveliness/run_test.pl rtpsdisco rtps lost Time:41s Result:0

==============================================================================

tests/DCPS/Serializer_wstring/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -NOBITS -DCPSPendingTimeout 3 
InfoRepo PID: 12382
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer_wstring/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub.log -DCPSBit 0  -DCPSPendingTimeout 3 
pub PID: 12389 started at 2023-04-19 14:26:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Serializer_wstring/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub.log -DCPSBit 0  -DCPSPendingTimeout 3 
sub PID: 12390 started at 2023-04-19 14:26:13
DataReaderListenerImpl::on_subscription_matched
DataReaderListenerImpl::on_liveliness_changed
Message: subject_id = 99
         count      = 0
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 1
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 2
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 3
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 4
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 5
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 6
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 7
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 8
SampleInfo.sample_rank = 0
Message: subject_id = 99
         count      = 9
SampleInfo.sample_rank = 0
DataReaderListenerImpl::on_liveliness_changed
DataReaderListenerImpl::on_subscription_matched
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/Serializer_wstring/run_test.pl Time:5s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -o repo.ior 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile tcp.ini 
(12409|12409) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(12402|12402) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12409|12409) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl Time:3s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile rtps.ini 
(12419|12419) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl rtps Time:5s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl rtps 2 #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile rtps.ini 2
(12427|12427) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 100
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 101
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 102
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 103
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 104
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 105
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 106
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 107
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 108
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 109
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 110
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 111
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 112
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 113
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 114
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 115
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 116
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 117
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 118
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 119
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 120
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 121
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 122
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 123
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 124
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 125
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 126
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 127
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 128
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 129
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 130
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 131
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 132
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 133
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 134
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 135
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 136
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 137
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 138
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 139
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 140
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 141
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 142
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 143
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 144
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 145
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 146
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 147
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 148
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 149
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 150
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 151
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 152
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 153
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 154
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 155
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 156
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 157
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 158
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 159
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 160
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 161
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 162
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 163
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 164
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 165
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 166
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 167
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 168
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 169
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 170
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 171
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 172
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 173
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 174
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 175
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 176
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 177
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 178
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 179
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 180
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 181
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 182
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 183
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 184
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 185
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 186
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 187
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 188
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 189
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 190
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 191
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 192
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 193
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 194
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 195
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 196
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 197
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 198
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 199
         message = Testing!
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl rtps 2 Time:4s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl udp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -o repo.ior 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile udp.ini 
(12441|12441) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(12434|12434) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12441|12441) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl udp Time:3s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl multicast #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -o repo.ior 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile multicast.ini 
(12458|12458) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(12451|12451) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12458|12458) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl multicast Time:4s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl shmem #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -o repo.ior 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile shmem.ini 
(12476|12476) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(12476|12476) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12469|12469) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:49: INFO: TestCase::init_datawriter
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl shmem Time:3s Result:0

==============================================================================

tests/DCPS/SharedTransport/run_test.pl rtps_disc_tcp #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/SharedTransport/test -DCPSPendingTimeout 3 -DCPSConfigFile rtps_disc_tcp.ini 
(12487|12487) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
TestCase.cpp:49: INFO: TestCase::init_datawriter
(12487|12487) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
TestCase.cpp:62: INFO: TestCase::init_datareader
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 0
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 1
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 2
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 3
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 4
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 5
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 6
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 7
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 8
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 9
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 10
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 11
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 12
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 13
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 14
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 15
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 16
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 17
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 18
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 19
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 20
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 21
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 22
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 23
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 24
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 25
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 26
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 27
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 28
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 29
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 30
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 31
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 32
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 33
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 34
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 35
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 36
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 37
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 38
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 39
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 40
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 41
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 42
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 43
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 44
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 45
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 46
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 47
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 48
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 49
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 50
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 51
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 52
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 53
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 54
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 55
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 56
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 57
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 58
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 59
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 60
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 61
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 62
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 63
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 64
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 65
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 66
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 67
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 68
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 69
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 70
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 71
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 72
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 73
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 74
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 75
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 76
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 77
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 78
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 79
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 80
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 81
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 82
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 83
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 84
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 85
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 86
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 87
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 88
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 89
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 90
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 91
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 92
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 93
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 94
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 95
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 96
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 97
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 98
         message = Testing!
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: key    = 99
         message = Testing!
(12487|12487) send_bytes: iov[0].iov_len = 28 .iov_base =2001B4F0
(12487|12487) send_bytes: iov[1].iov_len = 40 .iov_base =1852180
(12487|12487) send_bytes: iov[2].iov_len = 20 .iov_base =1773B00
(12487|12487) WARNING: TransportSendStrategy::stop() - terminating with 88 unsent bytes.
(12487|12487) WARNING: TransportSendStrategy::stop() - terminating with 0 unsent elements.
test PASSED.

auto_run_tests_finished: tests/DCPS/SharedTransport/run_test.pl rtps_disc_tcp Time:4s Result:0

==============================================================================

tests/DCPS/ConfigFile/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigFile/ConfigFile -DCPSConfigFile test1.ini
(12509|12509) Service_Participant::set_repo_ior: Repo[DEFAULT_REPO] == file://repo.ior
(12509|12509) [repository/DEFAULT_REPO]: RepositoryIor == file://repo.ior
(12509|12509) NOTICE: "DCPSDefaultDiscovery" is defined in config file, with value "MyDefaultDiscovery".
(12509|12509) NOTICE: "DCPSBidirGIOP" is not defined in config file - using code default.
(12509|12509) NOTICE: "DCPSThreadStatusInterval" is not defined in config file - using code default.
(12509|12509) NOTICE: "ORBLogFile" is not defined in config file - using code default.
(12509|12509) NOTICE: "ORBVerboseLogging" is not defined in config file - using code default.
(12509|12509) NOTICE: "DCPSMonitor" is not defined in config file - using code default.
(12509|12509) NOTICE: "DCPSTypeObjectEncoding" is not defined in config file - using code default.
(12509|12509) NOTICE: "DCPSLogLevel" is not defined in config file - using code default.
(12509|12509) NOTICE: "scheduler" is not defined in config file - using code default.
(12509|12509) NOTICE: "scheduler_slice" is not defined in config file - using code default.
(12509|12509) NOTICE: Service_Participant::load_domain_ranges(): config does not have a [DomainRange] section.
(12509|12509) [repository/xyz]: DCPSBitTransportIPAddress == 1.2.3.4
(12509|12509) [repository/xyz]: DCPSBitTransportPort == 4321
(12509|12509) [repository/xyz]: RepositoryIor == file://repo3.ior
(12509|12509) [repository/MyDefaultDiscovery]: RepositoryIor == file://repo3.ior
(12509|12509) [repository/333]: RepositoryIor == file://repo2.ior
(12509|12509) [repository/333]: RepositoryKey == 333
(12509|12509) NOTICE: TransportRegistry::load_transport_templates(): config does not have a [transport_template] section.
(12509|12509) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(12509|12509) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_packet_size" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(12509|12509) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(12509|12509) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(12509|12509) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(12509|12509) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(12509|12509) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(12509|12509) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(12509|12509) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(12509|12509) NOTICE: "local_address" is not defined in config file - using code default.
(12509|12509) NOTICE: "pub_address" is not defined in config file - using code default.
(12509|12509) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(12509|12509) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(12509|12509) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(12509|12509) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(12509|12509) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(12509|12509) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(12509|12509) NOTICE: "local_address" is defined in config file, with value "localhost:".
(12509|12509) NOTICE: "pub_address" is not defined in config file - using code default.
(12509|12509) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(12509|12509) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(12509|12509) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_packet_size" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(12509|12509) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(12509|12509) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(12509|12509) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(12509|12509) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(12509|12509) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(12509|12509) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(12509|12509) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(12509|12509) NOTICE: "local_address" is not defined in config file - using code default.
(12509|12509) NOTICE: "pub_address" is not defined in config file - using code default.
(12509|12509) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(12509|12509) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(12509|12509) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(12509|12509) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(12509|12509) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_packet_size" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(12509|12509) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(12509|12509) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(12509|12509) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(12509|12509) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(12509|12509) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(12509|12509) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(12509|12509) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(12509|12509) NOTICE: "local_address" is not defined in config file - using code default.
(12509|12509) NOTICE: "pub_address" is not defined in config file - using code default.
(12509|12509) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(12509|12509) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(12509|12509) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(12509|12509) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(12509|12509) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_packet_size" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(12509|12509) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(12509|12509) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(12509|12509) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(12509|12509) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(12509|12509) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(12509|12509) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(12509|12509) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(12509|12509) NOTICE: "local_address" is not defined in config file - using code default.
(12509|12509) NOTICE: "pub_address" is not defined in config file - using code default.
(12509|12509) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(12509|12509) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(12509|12509) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(12509|12509) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(12509|12509) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_packet_size" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(12509|12509) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(12509|12509) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(12509|12509) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(12509|12509) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(12509|12509) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(12509|12509) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(12509|12509) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(12509|12509) NOTICE: "local_address" is not defined in config file - using code default.
(12509|12509) NOTICE: "pub_address" is not defined in config file - using code default.
(12509|12509) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(12509|12509) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(12509|12509) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(12509|12509) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(12509|12509) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_packet_size" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(12509|12509) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(12509|12509) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(12509|12509) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(12509|12509) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(12509|12509) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(12509|12509) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(12509|12509) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(12509|12509) NOTICE: "local_address" is not defined in config file - using code default.
(12509|12509) NOTICE: "pub_address" is not defined in config file - using code default.
(12509|12509) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(12509|12509) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(12509|12509) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(12509|12509) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(12509|12509) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_packet_size" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(12509|12509) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(12509|12509) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(12509|12509) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(12509|12509) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(12509|12509) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(12509|12509) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(12509|12509) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(12509|12509) NOTICE: "default_to_ipv6" is not defined in config file - using code default.
(12509|12509) NOTICE: "port_offset" is not defined in config file - using code default.
(12509|12509) NOTICE: "group_address" is not defined in config file - using code default.
(12509|12509) NOTICE: "local_address" is not defined in config file - using code default.
(12509|12509) NOTICE: "reliable" is not defined in config file - using code default.
(12509|12509) NOTICE: "syn_backoff" is not defined in config file - using code default.
(12509|12509) NOTICE: "syn_interval" is not defined in config file - using code default.
(12509|12509) NOTICE: "syn_timeout" is not defined in config file - using code default.
(12509|12509) NOTICE: "nak_depth" is not defined in config file - using code default.
(12509|12509) NOTICE: "nak_interval" is not defined in config file - using code default.
(12509|12509) NOTICE: "nak_delay_intervals" is not defined in config file - using code default.
(12509|12509) NOTICE: "nak_max" is not defined in config file - using code default.
(12509|12509) NOTICE: "nak_timeout" is not defined in config file - using code default.
(12509|12509) NOTICE: "ttl" is not defined in config file - using code default.
(12509|12509) NOTICE: "rcv_buffer_size" is not defined in config file - using code default.
(12509|12509) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(12509|12509) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_packet_size" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(12509|12509) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(12509|12509) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(12509|12509) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(12509|12509) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(12509|12509) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(12509|12509) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(12509|12509) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(12509|12509) NOTICE: "local_address" is not defined in config file - using code default.
(12509|12509) NOTICE: "send_buffer_size" is not defined in config file - using code default.
(12509|12509) NOTICE: "rcv_buffer_size" is not defined in config file - using code default.
(12509|12509) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(12509|12509) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_packet_size" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(12509|12509) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(12509|12509) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(12509|12509) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(12509|12509) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(12509|12509) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(12509|12509) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(12509|12509) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(12509|12509) NOTICE: "local_address" is not defined in config file - using code default.
(12509|12509) NOTICE: "pub_address" is not defined in config file - using code default.
(12509|12509) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(12509|12509) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(12509|12509) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(12509|12509) NOTICE: "queue_messages_per_pool" is not defined in config file - using code default.
(12509|12509) NOTICE: "queue_initial_pools" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_packet_size" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_samples_per_packet" is not defined in config file - using code default.
(12509|12509) NOTICE: "optimum_packet_size" is not defined in config file - using code default.
(12509|12509) NOTICE: "thread_per_connection" is not defined in config file - using code default.
(12509|12509) NOTICE: "datalink_release_delay" is not defined in config file - using code default.
(12509|12509) NOTICE: "fragment_reassembly_timeout" is not defined in config file - using code default.
(12509|12509) NOTICE: "datalink_control_chunks" is not defined in config file - using code default.
(12509|12509) NOTICE: "receive_preallocated_message_blocks" is not defined in config file - using code default.
(12509|12509) NOTICE: "receive_preallocated_data_blocks" is not defined in config file - using code default.
(12509|12509) NOTICE: "local_address" is not defined in config file - using code default.
(12509|12509) NOTICE: "pub_address" is not defined in config file - using code default.
(12509|12509) NOTICE: "enable_nagle_algorithm" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_initial_delay" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_backoff_multiplier" is not defined in config file - using code default.
(12509|12509) NOTICE: "conn_retry_attempts" is not defined in config file - using code default.
(12509|12509) NOTICE: "passive_reconnect_duration" is not defined in config file - using code default.
(12509|12509) NOTICE: "max_output_pause_period" is not defined in config file - using code default.
(12509|12509) NOTICE: "active_conn_timeout_period" is not defined in config file - using code default.
(12509|12509) Service_Participant::set_repo_domain: Domain[ 100] = Repo[ DEFAULT_STATIC].
(12509|12509) Service_Participant::set_repo_domain: Domain[ 1235] = Repo[ xyz].
(12509|12509) [domain/1234]: DomainId == 1234
(12509|12509) [domain/1234]: DomainRepoKey == 333
(12509|12509) Service_Participant::set_repo_domain: Domain[ 1234] = Repo[ 333].
(12509|12509) Service_Participant::set_repo_domain: Domain[ 99] = Repo[ MyConfig].
(12509|12509) Service_Participant::set_repo_domain: Domain[ 98] = Repo[ MultiSendAddr].
(12509|12509) [domain/21]: DomainId == 21
(12509|12509) Service_Participant::set_repo_domain: Domain[ 21] = Repo[ DEFAULT_RTPS].
(12509|12509) NOTICE: StaticDiscovery::parse_topics processing [topic/TheTopic] section.
(12509|12509) NOTICE: StaticDiscovery::parse_datawriterqos no [datawriterqos] sections.
(12509|12509) NOTICE: StaticDiscovery::parse_datareaderqos no [datareaderqos] sections.
(12509|12509) NOTICE: StaticDiscovery::parse_publisherqos no [publisherqos] sections.
(12509|12509) NOTICE: StaticDiscovery::parse_subscriberqos no [subscriberqos] sections.
(12509|12509) NOTICE: StaticDiscovery::parse_endpoints processing [endpoint/MyWriter] section.
(12509|12509) DEBUG: StaticDiscovery::parse_endpoints adding entity with id 01030000.00640000.00000000.00000102(44b6056a)
(12509|12509) NOTICE: StaticDiscovery::parse_endpoints processing [endpoint/MyReader] section.
(12509|12509) DEBUG: StaticDiscovery::parse_endpoints adding entity with id 01030000.00640000.00000000.00000007(2dc7c0a4)
(12509|12509) NOTICE: Service_Participant::intializeScheduling() - no scheduling policy specified, not setting policy.
(12509|12509) Service_Participant::get_domain_participant_factory: Creating LinuxNetworkConfigMonitor
(12509|12509) Service_Participant::shutdown
(12509|12509) DomainParticipantFactoryImpl::~DomainParticipantFactoryImpl()
(12509|12509) Service_Participant::~Service_Participant
(12509|12509) Service_Participant::shutdown
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ConfigFile/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/ConfigTransports/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12512
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a process -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 12519 started at 2023-04-19 14:26:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a process -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 12520 started at 2023-04-19 14:26:43
(12519|12519) Running colocation opt process
(12520|12520) Topic name: Xyz::Foo
(12520|12520) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12519|12519) Topic name: Xyz::Foo
(12519|12519) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12520|12520) Topic name: Xyz::Foo
(12520|12520) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12520|12520) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12520|12520) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12520|12520) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12520|12520) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12520|12520) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12520|12520) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12520|12520) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12520|12520) Writer::run_test begins.
(12520|12520)  14:26:43.900360 Writer::run_test starting to write pass 1
(12520|12520)  14:26:43.901084 Writer::run_test done writing.
(12519|12519) Topic name: Xyz::Foo
(12519|12519) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12519|12519) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12519|12519) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12519|12519) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12519|12519) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12519|12519) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12519|12519) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12519|12519) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12520|12520) Writer::run_test finished.
(12519|12519) Shutting subscriber down ...
(12519|12519) deleting entities1
(12520|12520) deleting entities1
(12520|12520) deleting participant1
(12520|12520) deleting entities2
(12520|12520) deleting participant2
(12519|12519) deleting participant1
(12520|12520) Shutting publisher down ...
(12519|12519) deleting entities2
(12519|12519) deleting participant2
(12519|12519) Subscriber shutting down svc part
(12520|12520) Publisher shutdown complete.
(12520|12520) done.
(12519|12519) Subscriber shutdown complete
(12519|12519) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->1
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12541
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 12548 started at 2023-04-19 14:26:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 12549 started at 2023-04-19 14:26:45
(12548|12548) Running colocation opt process
(12548|12548) Topic name: Xyz::Foo
(12549|12549) Topic name: Xyz::Foo
(12548|12548) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12549|12549) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12548|12548) Topic name: Xyz::Foo
(12549|12549) Topic name: Xyz::Foo
(12549|12549) Check if 'udp1' is among supported [udp1]?
(12549|12549) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12549|12549) Writer::run_test begins.
(12549|12549)  14:26:45.274158 Writer::run_test starting to write pass 1
(12549|12549)  14:26:45.274931 Writer::run_test done writing.
(12548|12548) Check if 'udp1' is among supported [udp1]?
(12548|12548) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12549|12549) Writer::run_test finished.
(12548|12548) Shutting subscriber down ...
(12548|12548) deleting entities1
(12549|12549) deleting entities1
(12549|12549) deleting participant1
(12549|12549) deleting entities2
(12549|12549) deleting participant2
(12549|12549) Shutting publisher down ...
(12548|12548) deleting participant1
(12548|12548) deleting entities2
(12548|12548) deleting participant2
(12548|12548) Subscriber shutting down svc part
(12549|12549) Publisher shutdown complete.
(12549|12549) done.
(12548|12548) Subscriber shutdown complete
(12548|12548) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->2
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12574
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 12581 started at 2023-04-19 14:26:46
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 12582 started at 2023-04-19 14:26:46
(12581|12581) Running colocation opt process
(12581|12581) Topic name: Xyz::Foo
(12582|12582) Topic name: Xyz::Foo
(12581|12581) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12582|12582) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12581|12581) Topic name: Xyz::Foo
(12582|12582) Topic name: Xyz::Foo
(12581|12581) Check if 'udp1' is among supported [udp1]?
(12581|12581) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12582|12582) Check if 'udp1' is among supported [udp1]?
(12582|12582) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12582|12582) Writer::run_test begins.
(12582|12582)  14:26:46.689947 Writer::run_test starting to write pass 1
(12582|12582)  14:26:46.690722 Writer::run_test done writing.
(12582|12582) Writer::run_test finished.
(12581|12581) Shutting subscriber down ...
(12581|12581) deleting entities1
(12582|12582) deleting entities1
(12582|12582) deleting participant1
(12582|12582) deleting entities2
(12582|12582) deleting participant2
(12582|12582) Shutting publisher down ...
(12581|12581) deleting participant1
(12581|12581) deleting entities2
(12581|12581) deleting participant2
(12581|12581) Subscriber shutting down svc part
(12582|12582) Publisher shutdown complete.
(12582|12582) done.
(12581|12581) Subscriber shutdown complete
(12581|12581) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->3
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12607
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 12614 started at 2023-04-19 14:26:47
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 12615 started at 2023-04-19 14:26:48
(12614|12614) Running colocation opt process
(12615|12615) Topic name: Xyz::Foo
(12614|12614) Topic name: Xyz::Foo
(12615|12615) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12615|12615) Topic name: Xyz::Foo
(12614|12614) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12614|12614) Topic name: Xyz::Foo
(12615|12615) Check if 'udp1' is among supported [udp1]?
(12615|12615) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12615|12615) Writer::run_test begins.
(12615|12615)  14:26:48.093397 Writer::run_test starting to write pass 1
(12615|12615)  14:26:48.094183 Writer::run_test done writing.
(12614|12614) Check if 'udp1' is among supported [udp1]?
(12614|12614) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12615|12615) Writer::run_test finished.
(12614|12614) Shutting subscriber down ...
(12614|12614) deleting entities1
(12615|12615) deleting entities1
(12615|12615) deleting participant1
(12615|12615) deleting entities2
(12615|12615) deleting participant2
(12615|12615) Shutting publisher down ...
(12614|12614) deleting participant1
(12614|12614) deleting entities2
(12614|12614) deleting participant2
(12614|12614) Subscriber shutting down svc part
(12615|12615) Publisher shutdown complete.
(12615|12615) done.
(12614|12614) Subscriber shutdown complete
(12614|12614) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->4
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12640
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0   -c true -e none -a none -s none -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 12647 started at 2023-04-19 14:26:49
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0   -c true -e none -a none -s none -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 12648 started at 2023-04-19 14:26:49
(12647|12647) Running colocation opt none
(12647|12647) Topic name: Xyz::Foo
(12648|12648) Topic name: Xyz::Foo
(12647|12647) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12647|12647) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0500_TCP]?
(12648|12648) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12648|12648) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0500_TCP]?
(12648|12648) Writer::run_test begins.
(12648|12648)  14:26:49.498722 Writer::run_test starting to write pass 1
(12648|12648)  14:26:49.500455 Writer::run_test done writing.
(12648|12648)  14:26:50.500781 Writer::run_test starting to write pass 2
(12648|12648)  14:26:50.501620 Writer::run_test done writing.
(12648|12648)  14:26:51.501916 Writer::run_test starting to write pass 3
(12648|12648)  14:26:51.502781 Writer::run_test done writing.
(12648|12648)  14:26:52.503016 Writer::run_test starting to write pass 4
(12648|12648)  14:26:52.503885 Writer::run_test done writing.
(12648|12648)  14:26:53.504136 Writer::run_test starting to write pass 5
(12648|12648)  14:26:53.505010 Writer::run_test done writing.
(12648|12648) Writer::run_test finished.
(12647|12647) Shutting subscriber down ...
(12647|12647) deleting entities1
(12648|12648) deleting entities1
(12648|12648) deleting participant1
(12648|12648) Shutting publisher down ...
(12647|12647) deleting participant1
(12647|12647) Subscriber shutting down svc part
(12648|12648) Publisher shutdown complete.
(12648|12648) done.
(12647|12647) Subscriber shutdown complete
(12647|12647) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->5
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12657
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s whatever_just_to_ensure_there_is_a_config_file_on_the_command_line -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 12664 started at 2023-04-19 14:26:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s whatever_just_to_ensure_there_is_a_config_file_on_the_command_line -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 12665 started at 2023-04-19 14:26:54
(12664|12664) Running colocation opt none
(12664|12664) Topic name: Xyz::Foo
(12665|12665) Topic name: Xyz::Foo
(12664|12664) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12665|12665) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12664|12664) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12664|12664) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12664|12664) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12664|12664) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12665|12665) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12665|12665) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12665|12665) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12665|12665) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12665|12665) Writer::run_test begins.
(12665|12665)  14:26:54.818504 Writer::run_test starting to write pass 1
(12665|12665)  14:26:54.819279 Writer::run_test done writing.
(12665|12665)  14:26:55.819633 Writer::run_test starting to write pass 2
(12665|12665)  14:26:55.820127 Writer::run_test done writing.
(12665|12665)  14:26:56.820480 Writer::run_test starting to write pass 3
(12665|12665)  14:26:56.820991 Writer::run_test done writing.
(12665|12665)  14:26:57.821264 Writer::run_test starting to write pass 4
(12665|12665)  14:26:57.822080 Writer::run_test done writing.
(12665|12665)  14:26:58.822420 Writer::run_test starting to write pass 5
(12665|12665)  14:26:58.822762 Writer::run_test done writing.
(12665|12665) Writer::run_test finished.
(12664|12664) Shutting subscriber down ...
(12665|12665) deleting entities1
(12664|12664) deleting entities1
(12665|12665) deleting participant1
(12665|12665) Shutting publisher down ...
(12664|12664) deleting participant1
(12664|12664) Subscriber shutting down svc part
(12665|12665) Publisher shutdown complete.
(12665|12665) done.
(12664|12664) Subscriber shutdown complete
(12664|12664) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->6
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12686
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 12693 started at 2023-04-19 14:27:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 12694 started at 2023-04-19 14:27:00
(12693|12693) Running colocation opt none
(12693|12693) Topic name: Xyz::Foo
(12694|12694) Topic name: Xyz::Foo
(12694|12694) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12693|12693) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12694|12694) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12694|12694) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12694|12694) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12694|12694) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12694|12694) Writer::run_test begins.
(12693|12693) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12693|12693) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12693|12693) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12693|12693) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12694|12694)  14:27:00.215637 Writer::run_test starting to write pass 1
(12694|12694)  14:27:00.216272 Writer::run_test done writing.
(12694|12694)  14:27:01.216620 Writer::run_test starting to write pass 2
(12694|12694)  14:27:01.217115 Writer::run_test done writing.
(12694|12694)  14:27:02.217467 Writer::run_test starting to write pass 3
(12694|12694)  14:27:02.217965 Writer::run_test done writing.
(12694|12694)  14:27:03.218282 Writer::run_test starting to write pass 4
(12694|12694)  14:27:03.218655 Writer::run_test done writing.
(12694|12694)  14:27:04.218987 Writer::run_test starting to write pass 5
(12694|12694)  14:27:04.219334 Writer::run_test done writing.
(12694|12694) Writer::run_test finished.
(12693|12693) Shutting subscriber down ...
(12694|12694) deleting entities1
(12693|12693) deleting entities1
(12694|12694) deleting participant1
(12694|12694) Shutting publisher down ...
(12693|12693) deleting participant1
(12693|12693) Subscriber shutting down svc part
(12694|12694) Publisher shutdown complete.
(12694|12694) done.
(12693|12693) Subscriber shutdown complete
(12693|12693) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->7
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12715
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 12722 started at 2023-04-19 14:27:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 12723 started at 2023-04-19 14:27:05
(12722|12722) Running colocation opt none
(12722|12722) Topic name: Xyz::Foo
(12723|12723) Topic name: Xyz::Foo
(12722|12722) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12723|12723) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12722|12722) Check if 'udp1' is among supported [udp1]?
(12723|12723) Check if 'udp1' is among supported [udp1]?
(12723|12723) Writer::run_test begins.
(12723|12723)  14:27:05.533526 Writer::run_test starting to write pass 1
(12723|12723)  14:27:05.535092 Writer::run_test done writing.
(12723|12723)  14:27:06.535433 Writer::run_test starting to write pass 2
(12723|12723)  14:27:06.536296 Writer::run_test done writing.
(12723|12723)  14:27:07.536549 Writer::run_test starting to write pass 3
(12723|12723)  14:27:07.537484 Writer::run_test done writing.
(12723|12723)  14:27:08.537816 Writer::run_test starting to write pass 4
(12723|12723)  14:27:08.538179 Writer::run_test done writing.
(12723|12723)  14:27:09.538452 Writer::run_test starting to write pass 5
(12723|12723)  14:27:09.538799 Writer::run_test done writing.
(12723|12723) Writer::run_test finished.
(12722|12722) Shutting subscriber down ...
(12722|12722) deleting entities1
(12723|12723) deleting entities1
(12723|12723) deleting participant1
(12723|12723) Shutting publisher down ...
(12722|12722) deleting participant1
(12722|12722) Subscriber shutting down svc part
(12722|12722) Subscriber shutdown complete
(12722|12722) done.
(12723|12723) Publisher shutdown complete.
(12723|12723) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->8
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12732
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 12739 started at 2023-04-19 14:27:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 12740 started at 2023-04-19 14:27:10
(12739|12739) Running colocation opt none
(12740|12740) Topic name: Xyz::Foo
(12739|12739) Topic name: Xyz::Foo
(12740|12740) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12739|12739) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12740|12740) Check if 'udp1' is among supported [udp1]?
(12740|12740) Writer::run_test begins.
(12739|12739) Check if 'udp1' is among supported [udp1]?
(12740|12740)  14:27:10.852786 Writer::run_test starting to write pass 1
(12740|12740)  14:27:10.853431 Writer::run_test done writing.
(12740|12740)  14:27:11.853760 Writer::run_test starting to write pass 2
(12740|12740)  14:27:11.854122 Writer::run_test done writing.
(12740|12740)  14:27:12.854328 Writer::run_test starting to write pass 3
(12740|12740)  14:27:12.854712 Writer::run_test done writing.
(12740|12740)  14:27:13.854909 Writer::run_test starting to write pass 4
(12740|12740)  14:27:13.855253 Writer::run_test done writing.
(12740|12740)  14:27:14.855569 Writer::run_test starting to write pass 5
(12740|12740)  14:27:14.855937 Writer::run_test done writing.
(12740|12740) Writer::run_test finished.
(12739|12739) Shutting subscriber down ...
(12740|12740) deleting entities1
(12739|12739) deleting entities1
(12740|12740) deleting participant1
(12740|12740) Shutting publisher down ...
(12739|12739) deleting participant1
(12739|12739) Subscriber shutting down svc part
(12740|12740) Publisher shutdown complete.
(12740|12740) done.
(12739|12739) Subscriber shutdown complete
(12739|12739) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->9
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12749
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 12756 started at 2023-04-19 14:27:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 12757 started at 2023-04-19 14:27:16
(12756|12756) Running colocation opt none
(12756|12756) Topic name: Xyz::Foo
(12757|12757) Topic name: Xyz::Foo
(12756|12756) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12757|12757) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12756|12756) Check if 'udp1' is among supported [udp1]?
(12757|12757) Check if 'udp1' is among supported [udp1]?
(12757|12757) Writer::run_test begins.
(12757|12757)  14:27:16.167628 Writer::run_test starting to write pass 1
(12757|12757)  14:27:16.168278 Writer::run_test done writing.
(12757|12757)  14:27:17.168594 Writer::run_test starting to write pass 2
(12757|12757)  14:27:17.168973 Writer::run_test done writing.
(12757|12757)  14:27:18.169215 Writer::run_test starting to write pass 3
(12757|12757)  14:27:18.169577 Writer::run_test done writing.
(12757|12757)  14:27:19.169866 Writer::run_test starting to write pass 4
(12757|12757)  14:27:19.170209 Writer::run_test done writing.
(12757|12757)  14:27:20.170463 Writer::run_test starting to write pass 5
(12757|12757)  14:27:20.170839 Writer::run_test done writing.
(12757|12757) Writer::run_test finished.
(12756|12756) Shutting subscriber down ...
(12757|12757) deleting entities1
(12756|12756) deleting entities1
(12757|12757) deleting participant1
(12757|12757) Shutting publisher down ...
(12756|12756) deleting participant1
(12756|12756) Subscriber shutting down svc part
(12757|12757) Publisher shutdown complete.
(12756|12756) Subscriber shutdown complete
(12756|12756) done.
(12757|12757) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->10
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12766
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -f _OPENDDS_0300_UDP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 12773 started at 2023-04-19 14:27:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -f _OPENDDS_0300_UDP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 12774 started at 2023-04-19 14:27:21
(12773|12773) Running colocation opt none
(12774|12774) Topic name: Xyz::Foo
(12773|12773) Topic name: Xyz::Foo
(12774|12774) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12773|12773) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12774|12774) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12774|12774) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12774|12774) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12774|12774) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12774|12774) Writer::run_test begins.
(12773|12773) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12773|12773) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12773|12773) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12773|12773) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12774|12774)  14:27:21.536113 Writer::run_test starting to write pass 1
(12774|12774)  14:27:21.537129 Writer::run_test done writing.
(12774|12774)  14:27:22.537650 Writer::run_test starting to write pass 2
(12774|12774)  14:27:22.538016 Writer::run_test done writing.
(12774|12774)  14:27:23.538293 Writer::run_test starting to write pass 3
(12774|12774)  14:27:23.538672 Writer::run_test done writing.
(12774|12774)  14:27:24.538943 Writer::run_test starting to write pass 4
(12774|12774)  14:27:24.539284 Writer::run_test done writing.
(12774|12774)  14:27:25.539537 Writer::run_test starting to write pass 5
(12774|12774)  14:27:25.539982 Writer::run_test done writing.
(12774|12774) Writer::run_test finished.
(12774|12774) Check if '_OPENDDS_0300_UDP' is among negotiated [_OPENDDS_0300_UDP]?
(12773|12773) Shutting subscriber down ...
(12773|12773) deleting entities1
(12774|12774) deleting entities1
(12774|12774) deleting participant1
(12774|12774) Shutting publisher down ...
(12773|12773) deleting participant1
(12773|12773) Subscriber shutting down svc part
(12774|12774) Publisher shutdown complete.
(12774|12774) done.
(12773|12773) Subscriber shutdown complete
(12773|12773) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->11
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12795
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 12802 started at 2023-04-19 14:27:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 12803 started at 2023-04-19 14:27:26
(12802|12802) Running colocation opt none
(12803|12803) Topic name: Xyz::Foo
(12802|12802) Topic name: Xyz::Foo
(12803|12803) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12803|12803) Check if 'udp1' is among supported [udp1]?
(12803|12803) Writer::run_test begins.
(12802|12802) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12802|12802) Check if 'udp1' is among supported [udp1]?
(12803|12803)  14:27:26.903943 Writer::run_test starting to write pass 1
(12803|12803)  14:27:26.904541 Writer::run_test done writing.
(12802|12811) Check if 'udp1' is among negotiated [udp1]?
(12803|12803)  14:27:27.904810 Writer::run_test starting to write pass 2
(12803|12803)  14:27:27.905163 Writer::run_test done writing.
(12802|12811) Check if 'udp1' is among negotiated [udp1]?
(12803|12803)  14:27:28.905396 Writer::run_test starting to write pass 3
(12803|12803)  14:27:28.905774 Writer::run_test done writing.
(12802|12811) Check if 'udp1' is among negotiated [udp1]?
(12803|12803)  14:27:29.906040 Writer::run_test starting to write pass 4
(12803|12803)  14:27:29.906475 Writer::run_test done writing.
(12802|12811) Check if 'udp1' is among negotiated [udp1]?
(12803|12803)  14:27:30.906730 Writer::run_test starting to write pass 5
(12803|12803)  14:27:30.907071 Writer::run_test done writing.
(12802|12811) Check if 'udp1' is among negotiated [udp1]?
(12803|12803) Writer::run_test finished.
(12803|12803) Check if 'udp1' is among negotiated [udp1]?
(12802|12811) Check if 'udp1' is among negotiated [udp1]?
(12802|12802) Shutting subscriber down ...
(12802|12802) deleting entities1
(12802|12802) deleting participant1
(12802|12802) Subscriber shutting down svc part
(12803|12803) deleting entities1
(12803|12803) deleting participant1
(12803|12803) Shutting publisher down ...
(12802|12802) Subscriber shutdown complete
(12802|12802) done.
(12803|12803) Publisher shutdown complete.
(12803|12803) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->12
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12814
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 12821 started at 2023-04-19 14:27:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e participant -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 12822 started at 2023-04-19 14:27:32
(12821|12821) Running colocation opt none
(12821|12821) Topic name: Xyz::Foo
(12822|12822) Topic name: Xyz::Foo
(12822|12822) Check if 'mytcp1' is among supported [mytcp1]?
(12822|12822) Writer::run_test begins.
(12821|12821) Check if 'mytcp1' is among supported [mytcp1]?
(12822|12822)  14:27:32.211827 Writer::run_test starting to write pass 1
(12822|12822)  14:27:32.212369 Writer::run_test done writing.
(12821|12829) Check if 'mytcp1' is among negotiated [mytcp1]?
(12822|12822)  14:27:33.212687 Writer::run_test starting to write pass 2
(12822|12822)  14:27:33.212924 Writer::run_test done writing.
(12821|12829) Check if 'mytcp1' is among negotiated [mytcp1]?
(12822|12822)  14:27:34.213230 Writer::run_test starting to write pass 3
(12822|12822)  14:27:34.213471 Writer::run_test done writing.
(12821|12829) Check if 'mytcp1' is among negotiated [mytcp1]?
(12822|12822)  14:27:35.213782 Writer::run_test starting to write pass 4
(12822|12822)  14:27:35.214062 Writer::run_test done writing.
(12821|12829) Check if 'mytcp1' is among negotiated [mytcp1]?
(12822|12822)  14:27:36.214305 Writer::run_test starting to write pass 5
(12822|12822)  14:27:36.214557 Writer::run_test done writing.
(12821|12829) Check if 'mytcp1' is among negotiated [mytcp1]?
(12822|12822) Writer::run_test finished.
(12822|12822) Check if 'mytcp1' is among negotiated [mytcp1]?
(12821|12829) Check if 'mytcp1' is among negotiated [mytcp1]?
(12821|12821) Shutting subscriber down ...
(12821|12821) deleting entities1
(12822|12822) deleting entities1
(12822|12822) deleting participant1
(12822|12822) Shutting publisher down ...
(12821|12821) deleting participant1
(12821|12821) Subscriber shutting down svc part
(12822|12822) Publisher shutdown complete.
(12822|12822) done.
(12821|12821) Subscriber shutdown complete
(12821|12821) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->13
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12833
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 12840 started at 2023-04-19 14:27:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 12841 started at 2023-04-19 14:27:37
(12840|12840) Running colocation opt none
(12841|12841) Topic name: Xyz::Foo
(12840|12840) Topic name: Xyz::Foo
(12841|12841) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12840|12840) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12841|12841) Check if 'udp1' is among supported [udp1]?
(12841|12841) Writer::run_test begins.
(12840|12840) Check if 'udp1' is among supported [udp1]?
(12841|12841)  14:27:37.534262 Writer::run_test starting to write pass 1
(12841|12841)  14:27:37.534981 Writer::run_test done writing.
(12840|12849) Check if 'udp1' is among negotiated [udp1]?
(12841|12841)  14:27:38.535278 Writer::run_test starting to write pass 2
(12841|12841)  14:27:38.535732 Writer::run_test done writing.
(12840|12849) Check if 'udp1' is among negotiated [udp1]?
(12841|12841)  14:27:39.536043 Writer::run_test starting to write pass 3
(12841|12841)  14:27:39.536399 Writer::run_test done writing.
(12840|12849) Check if 'udp1' is among negotiated [udp1]?
(12841|12841)  14:27:40.536666 Writer::run_test starting to write pass 4
(12841|12841)  14:27:40.537010 Writer::run_test done writing.
(12840|12849) Check if 'udp1' is among negotiated [udp1]?
(12841|12841)  14:27:41.537311 Writer::run_test starting to write pass 5
(12841|12841)  14:27:41.537695 Writer::run_test done writing.
(12840|12849) Check if 'udp1' is among negotiated [udp1]?
(12841|12841) Writer::run_test finished.
(12841|12841) Check if 'udp1' is among negotiated [udp1]?
(12840|12849) Check if 'udp1' is among negotiated [udp1]?
(12840|12840) Shutting subscriber down ...
(12840|12840) deleting entities1
(12840|12840) deleting participant1
(12840|12840) Subscriber shutting down svc part
(12841|12841) deleting entities1
(12841|12841) deleting participant1
(12841|12841) Shutting publisher down ...
(12840|12840) Subscriber shutdown complete
(12840|12840) done.
(12841|12841) Publisher shutdown complete.
(12841|12841) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->14
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12859
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 12866 started at 2023-04-19 14:27:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 12867 started at 2023-04-19 14:27:42
(12866|12866) Running colocation opt none
(12867|12867) Topic name: Xyz::Foo
(12866|12866) Topic name: Xyz::Foo
(12866|12866) Check if 'mytcp1' is among supported [mytcp1]?
(12867|12867) Check if 'mytcp1' is among supported [mytcp1]?
(12867|12867) Writer::run_test begins.
(12867|12867)  14:27:42.853436 Writer::run_test starting to write pass 1
(12867|12867)  14:27:42.853899 Writer::run_test done writing.
(12866|12873) Check if 'mytcp1' is among negotiated [mytcp1]?
(12867|12867)  14:27:43.854196 Writer::run_test starting to write pass 2
(12867|12867)  14:27:43.854442 Writer::run_test done writing.
(12866|12873) Check if 'mytcp1' is among negotiated [mytcp1]?
(12867|12867)  14:27:44.854730 Writer::run_test starting to write pass 3
(12867|12867)  14:27:44.854961 Writer::run_test done writing.
(12866|12873) Check if 'mytcp1' is among negotiated [mytcp1]?
(12867|12867)  14:27:45.855180 Writer::run_test starting to write pass 4
(12867|12867)  14:27:45.855417 Writer::run_test done writing.
(12866|12873) Check if 'mytcp1' is among negotiated [mytcp1]?
(12867|12867)  14:27:46.855734 Writer::run_test starting to write pass 5
(12867|12867)  14:27:46.856019 Writer::run_test done writing.
(12866|12873) Check if 'mytcp1' is among negotiated [mytcp1]?
(12867|12867) Writer::run_test finished.
(12867|12867) Check if 'mytcp1' is among negotiated [mytcp1]?
(12866|12873) Check if 'mytcp1' is among negotiated [mytcp1]?
(12866|12866) Shutting subscriber down ...
(12866|12866) deleting entities1
(12867|12867) deleting entities1
(12867|12867) deleting participant1
(12867|12867) Shutting publisher down ...
(12866|12866) deleting participant1
(12866|12866) Subscriber shutting down svc part
(12867|12867) Publisher shutdown complete.
(12867|12867) done.
(12866|12866) Subscriber shutdown complete
(12866|12866) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->15
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12878
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 12885 started at 2023-04-19 14:27:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 12886 started at 2023-04-19 14:27:48
(12885|12885) Running colocation opt none
(12885|12885) Topic name: Xyz::Foo
(12886|12886) Topic name: Xyz::Foo
(12885|12885) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12886|12886) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12885|12885) Check if 'udp1' is among supported [udp1]?
(12886|12886) Check if 'udp1' is among supported [udp1]?
(12886|12886) Writer::run_test begins.
(12886|12886)  14:27:48.176651 Writer::run_test starting to write pass 1
(12886|12886)  14:27:48.177295 Writer::run_test done writing.
(12885|12892) Check if 'udp1' is among negotiated [udp1]?
(12886|12886)  14:27:49.177692 Writer::run_test starting to write pass 2
(12885|12892) Check if 'udp1' is among negotiated [udp1]?
(12886|12886)  14:27:49.178570 Writer::run_test done writing.
(12886|12886)  14:27:50.178898 Writer::run_test starting to write pass 3
(12885|12892) Check if 'udp1' is among negotiated [udp1]?
(12886|12886)  14:27:50.179758 Writer::run_test done writing.
(12886|12886)  14:27:51.180039 Writer::run_test starting to write pass 4
(12886|12886)  14:27:51.180404 Writer::run_test done writing.
(12885|12892) Check if 'udp1' is among negotiated [udp1]?
(12886|12886)  14:27:52.180735 Writer::run_test starting to write pass 5
(12886|12886)  14:27:52.181081 Writer::run_test done writing.
(12885|12892) Check if 'udp1' is among negotiated [udp1]?
(12886|12886) Writer::run_test finished.
(12886|12886) Check if 'udp1' is among negotiated [udp1]?
(12885|12892) Check if 'udp1' is among negotiated [udp1]?
(12885|12885) Shutting subscriber down ...
(12885|12885) deleting entities1
(12886|12886) deleting entities1
(12885|12885) deleting participant1
(12886|12886) deleting participant1
(12885|12885) Subscriber shutting down svc part
(12886|12886) Shutting publisher down ...
(12885|12885) Subscriber shutdown complete
(12885|12885) done.
(12886|12886) Publisher shutdown complete.
(12886|12886) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->16
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12896
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 12903 started at 2023-04-19 14:27:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher -DCPSBit 0  -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 12904 started at 2023-04-19 14:27:53
(12903|12903) Running colocation opt none
(12904|12904) Topic name: Xyz::Foo
(12904|12904) Check if 'mytcp1' is among supported [mytcp1]?
(12904|12904) Writer::run_test begins.
(12903|12903) Topic name: Xyz::Foo
(12903|12903) Check if 'mytcp1' is among supported [mytcp1]?
(12904|12904)  14:27:53.501005 Writer::run_test starting to write pass 1
(12904|12904)  14:27:53.501488 Writer::run_test done writing.
(12903|12912) Check if 'mytcp1' is among negotiated [mytcp1]?
(12904|12904)  14:27:54.501832 Writer::run_test starting to write pass 2
(12904|12904)  14:27:54.502076 Writer::run_test done writing.
(12903|12912) Check if 'mytcp1' is among negotiated [mytcp1]?
(12904|12904)  14:27:55.502391 Writer::run_test starting to write pass 3
(12904|12904)  14:27:55.502672 Writer::run_test done writing.
(12903|12912) Check if 'mytcp1' is among negotiated [mytcp1]?
(12904|12904)  14:27:56.503002 Writer::run_test starting to write pass 4
(12904|12904)  14:27:56.503247 Writer::run_test done writing.
(12903|12912) Check if 'mytcp1' is among negotiated [mytcp1]?
(12904|12904)  14:27:57.503546 Writer::run_test starting to write pass 5
(12904|12904)  14:27:57.503811 Writer::run_test done writing.
(12903|12912) Check if 'mytcp1' is among negotiated [mytcp1]?
(12904|12904) Writer::run_test finished.
(12904|12904) Check if 'mytcp1' is among negotiated [mytcp1]?
(12903|12912) Check if 'mytcp1' is among negotiated [mytcp1]?
(12903|12903) Shutting subscriber down ...
(12903|12903) deleting entities1
(12904|12904) deleting entities1
(12904|12904) deleting participant1
(12904|12904) Shutting publisher down ...
(12903|12903) deleting participant1
(12903|12903) Subscriber shutting down svc part
(12904|12904) Publisher shutdown complete.
(12904|12904) done.
(12903|12903) Subscriber shutdown complete
(12903|12903) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->17
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12915
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e none -a process -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 12922 started at 2023-04-19 14:27:58
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e none -a process -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 12923 started at 2023-04-19 14:27:58
(12922|12922) Running colocation opt process
(12915|12915) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12922|12922) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12923|12923) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12922|12922) Topic name: Xyz::Foo
(12923|12923) Topic name: Xyz::Foo
(12922|12922) Topic name: Xyz::Foo
(12923|12923) Topic name: Xyz::Foo
(12922|12922) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12922|12922) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12922|12922) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12922|12922) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12922|12922) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12922|12922) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12922|12922) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12922|12922) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12923|12923) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12923|12923) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12923|12923) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12923|12923) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12923|12923) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12923|12923) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12923|12923) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12923|12923) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12923|12923) Writer::run_test begins.
(12923|12923)  14:27:58.963136 Writer::run_test starting to write pass 1
(12923|12923)  14:27:58.963821 Writer::run_test done writing.
(12923|12923) Writer::run_test finished.
(12922|12922) Shutting subscriber down ...
(12922|12922) deleting entities1
(12923|12923) deleting entities1
(12923|12923) deleting participant1
(12922|12922) deleting participant1
(12923|12923) deleting entities2
(12923|12923) deleting participant2
(12922|12922) deleting entities2
(12923|12923) Shutting publisher down ...
(12923|12923) Publisher shutdown complete.
(12923|12923) done.
(12922|12922) deleting participant2
(12922|12922) Subscriber shutting down svc part
(12922|12922) Subscriber shutdown complete
(12922|12922) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->18
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12950
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e participant -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 12957 started at 2023-04-19 14:28:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e participant -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 12958 started at 2023-04-19 14:28:00
(12957|12957) Running colocation opt process
(12950|12950) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12957|12957) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12958|12958) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12957|12957) Topic name: Xyz::Foo
(12958|12958) Topic name: Xyz::Foo
(12957|12957) Topic name: Xyz::Foo
(12957|12957) Check if 'udp1' is among supported [udp1]?
(12957|12957) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12958|12958) Topic name: Xyz::Foo
(12958|12958) Check if 'udp1' is among supported [udp1]?
(12958|12958) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12958|12958) Writer::run_test begins.
(12958|12958)  14:28:00.487231 Writer::run_test starting to write pass 1
(12958|12958)  14:28:00.489403 Writer::run_test done writing.
(12958|12958) Writer::run_test finished.
(12957|12957) Shutting subscriber down ...
(12957|12957) deleting entities1
(12958|12958) deleting entities1
(12958|12958) deleting participant1
(12958|12958) deleting entities2
(12958|12958) deleting participant2
(12958|12958) Shutting publisher down ...
(12958|12958) Publisher shutdown complete.
(12958|12958) done.
(12957|12957) deleting participant1
(12957|12957) deleting entities2
(12957|12957) deleting participant2
(12957|12957) Subscriber shutting down svc part
(12957|12957) Subscriber shutdown complete
(12957|12957) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->19
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 12989
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e pubsub -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 12996 started at 2023-04-19 14:28:01
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e pubsub -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 12997 started at 2023-04-19 14:28:01
(12996|12996) Running colocation opt process
(12989|12989) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12996|12996) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12997|12997) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(12996|12996) Topic name: Xyz::Foo
(12997|12997) Topic name: Xyz::Foo
(12997|12997) Topic name: Xyz::Foo
(12996|12996) Topic name: Xyz::Foo
(12997|12997) Check if 'udp1' is among supported [udp1]?
(12997|12997) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12997|12997) Writer::run_test begins.
(12997|12997)  14:28:02.140798 Writer::run_test starting to write pass 1
(12997|12997)  14:28:02.141451 Writer::run_test done writing.
(12996|12996) Check if 'udp1' is among supported [udp1]?
(12996|12996) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(12997|12997) Writer::run_test finished.
(12996|12996) Shutting subscriber down ...
(12996|12996) deleting entities1
(12997|12997) deleting entities1
(12997|12997) deleting participant1
(12997|12997) deleting entities2
(12997|12997) deleting participant2
(12997|12997) Shutting publisher down ...
(12997|12997) Publisher shutdown complete.
(12997|12997) done.
(12996|12996) deleting participant1
(12996|12996) deleting entities2
(12996|12996) deleting participant2
(12996|12996) Subscriber shutting down svc part
(12996|12996) Subscriber shutdown complete
(12996|12996) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->20
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 13028
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e rw -n false -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 13035 started at 2023-04-19 14:28:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e rw -n false -a process -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 13036 started at 2023-04-19 14:28:03
(13035|13035) Running colocation opt process
(13028|13028) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13036|13036) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13035|13035) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13036|13036) Topic name: Xyz::Foo
(13035|13035) Topic name: Xyz::Foo
(13036|13036) Topic name: Xyz::Foo
(13036|13036) Check if 'udp1' is among supported [udp1]?
(13036|13036) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13036|13036) Writer::run_test begins.
(13036|13036)  14:28:03.699989 Writer::run_test starting to write pass 1
(13036|13036)  14:28:03.701708 Writer::run_test done writing.
(13035|13035) Topic name: Xyz::Foo
(13035|13035) Check if 'udp1' is among supported [udp1]?
(13035|13035) Check if 'udp1' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13036|13036) Writer::run_test finished.
(13035|13035) Shutting subscriber down ...
(13035|13035) deleting entities1
(13036|13036) deleting entities1
(13036|13036) deleting participant1
(13036|13036) deleting entities2
(13036|13036) deleting participant2
(13036|13036) Shutting publisher down ...
(13036|13036) Publisher shutdown complete.
(13036|13036) done.
(13035|13035) deleting participant1
(13035|13035) deleting entities2
(13035|13035) deleting participant2
(13035|13035) Subscriber shutting down svc part
(13035|13035) Subscriber shutdown complete
(13035|13035) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->21
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 13067
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber    -c true -e none -a none -s none -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 13074 started at 2023-04-19 14:28:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher    -c true -e none -a none -s none -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 13075 started at 2023-04-19 14:28:04
(13074|13074) Running colocation opt none
(13067|13067) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13074|13074) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13075|13075) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13074|13074) Topic name: Xyz::Foo
(13075|13075) Topic name: Xyz::Foo
(13075|13075) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0500_TCP]?
(13075|13075) Writer::run_test begins.
(13074|13074) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0500_TCP]?
(13075|13075)  14:28:05.168134 Writer::run_test starting to write pass 1
(13075|13075)  14:28:05.168802 Writer::run_test done writing.
(13075|13075)  14:28:06.169122 Writer::run_test starting to write pass 2
(13075|13075)  14:28:06.169488 Writer::run_test done writing.
(13075|13075)  14:28:07.169786 Writer::run_test starting to write pass 3
(13075|13075)  14:28:07.170186 Writer::run_test done writing.
(13075|13075)  14:28:08.170476 Writer::run_test starting to write pass 4
(13075|13075)  14:28:08.170857 Writer::run_test done writing.
(13075|13075)  14:28:09.171122 Writer::run_test starting to write pass 5
(13075|13075)  14:28:09.171498 Writer::run_test done writing.
(13075|13075) Writer::run_test finished.
(13074|13074) Shutting subscriber down ...
(13074|13074) deleting entities1
(13074|13074) deleting participant1
(13074|13074) Subscriber shutting down svc part
(13074|13074) Subscriber shutdown complete
(13074|13074) done.
(13075|13075) deleting entities1
(13075|13075) deleting participant1
(13075|13075) Shutting publisher down ...
(13075|13075) Publisher shutdown complete.
(13075|13075) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->22
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 13090
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e none -a none -s whatever_just_to_ensure_there_is_a_config_file_on_the_command_line -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 13097 started at 2023-04-19 14:28:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e none -a none -s whatever_just_to_ensure_there_is_a_config_file_on_the_command_line -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 13098 started at 2023-04-19 14:28:10
(13097|13097) Running colocation opt none
(13090|13090) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13097|13097) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13098|13098) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13097|13097) Topic name: Xyz::Foo
(13098|13098) Topic name: Xyz::Foo
(13097|13097) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13097|13097) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13097|13097) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13097|13097) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13098|13098) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13098|13098) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13098|13098) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13098|13098) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13098|13098) Writer::run_test begins.
(13098|13098)  14:28:10.653247 Writer::run_test starting to write pass 1
(13098|13098)  14:28:10.653883 Writer::run_test done writing.
(13098|13098)  14:28:11.654155 Writer::run_test starting to write pass 2
(13098|13098)  14:28:11.655017 Writer::run_test done writing.
(13098|13098)  14:28:12.655320 Writer::run_test starting to write pass 3
(13098|13098)  14:28:12.655707 Writer::run_test done writing.
(13098|13098)  14:28:13.655993 Writer::run_test starting to write pass 4
(13098|13098)  14:28:13.656484 Writer::run_test done writing.
(13098|13098)  14:28:14.656771 Writer::run_test starting to write pass 5
(13098|13098)  14:28:14.657121 Writer::run_test done writing.
(13098|13098) Writer::run_test finished.
(13097|13097) Shutting subscriber down ...
(13097|13097) deleting entities1
(13098|13098) deleting entities1
(13098|13098) deleting participant1
(13098|13098) Shutting publisher down ...
(13097|13097) deleting participant1
(13098|13098) Publisher shutdown complete.
(13098|13098) done.
(13097|13097) Subscriber shutting down svc part
(13097|13097) Subscriber shutdown complete
(13097|13097) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->23
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 13125
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 13132 started at 2023-04-19 14:28:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 13133 started at 2023-04-19 14:28:15
(13132|13132) Running colocation opt none
(13125|13125) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13133|13133) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13132|13132) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13133|13133) Topic name: Xyz::Foo
(13132|13132) Topic name: Xyz::Foo
(13133|13133) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13133|13133) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13133|13133) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13133|13133) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13133|13133) Writer::run_test begins.
(13133|13133)  14:28:16.084067 Writer::run_test starting to write pass 1
(13132|13132) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13132|13132) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13132|13132) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13132|13132) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13133|13133)  14:28:16.085031 Writer::run_test done writing.
(13133|13133)  14:28:17.085399 Writer::run_test starting to write pass 2
(13133|13133)  14:28:17.085753 Writer::run_test done writing.
(13133|13133)  14:28:18.086065 Writer::run_test starting to write pass 3
(13133|13133)  14:28:18.086447 Writer::run_test done writing.
(13133|13133)  14:28:19.086726 Writer::run_test starting to write pass 4
(13133|13133)  14:28:19.087076 Writer::run_test done writing.
(13133|13133)  14:28:20.087436 Writer::run_test starting to write pass 5
(13133|13133)  14:28:20.087781 Writer::run_test done writing.
(13133|13133) Writer::run_test finished.
(13132|13132) Shutting subscriber down ...
(13133|13133) deleting entities1
(13132|13132) deleting entities1
(13133|13133) deleting participant1
(13133|13133) Shutting publisher down ...
(13133|13133) Publisher shutdown complete.
(13133|13133) done.
(13132|13132) deleting participant1
(13132|13132) Subscriber shutting down svc part
(13132|13132) Subscriber shutdown complete
(13132|13132) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->24
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 13160
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 13167 started at 2023-04-19 14:28:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 13168 started at 2023-04-19 14:28:21
(13167|13167) Running colocation opt none
(13160|13160) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13168|13168) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13167|13167) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13168|13168) Topic name: Xyz::Foo
(13168|13168) Check if 'udp1' is among supported [udp1]?
(13168|13168) Writer::run_test begins.
(13167|13167) Topic name: Xyz::Foo
(13167|13167) Check if 'udp1' is among supported [udp1]?
(13168|13168)  14:28:21.600060 Writer::run_test starting to write pass 1
(13168|13168)  14:28:21.600698 Writer::run_test done writing.
(13168|13168)  14:28:22.601066 Writer::run_test starting to write pass 2
(13168|13168)  14:28:22.601428 Writer::run_test done writing.
(13168|13168)  14:28:23.601746 Writer::run_test starting to write pass 3
(13168|13168)  14:28:23.602109 Writer::run_test done writing.
(13168|13168)  14:28:24.602427 Writer::run_test starting to write pass 4
(13168|13168)  14:28:24.602797 Writer::run_test done writing.
(13168|13168)  14:28:25.603136 Writer::run_test starting to write pass 5
(13168|13168)  14:28:25.603483 Writer::run_test done writing.
(13168|13168) Writer::run_test finished.
(13167|13167) Shutting subscriber down ...
(13167|13167) deleting entities1
(13168|13168) deleting entities1
(13168|13168) deleting participant1
(13167|13167) deleting participant1
(13168|13168) Shutting publisher down ...
(13168|13168) Publisher shutdown complete.
(13168|13168) done.
(13167|13167) Subscriber shutting down svc part
(13167|13167) Subscriber shutdown complete
(13167|13167) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->25
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 13183
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 13190 started at 2023-04-19 14:28:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 13191 started at 2023-04-19 14:28:26
(13190|13190) Running colocation opt none
(13183|13183) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13190|13190) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13191|13191) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13190|13190) Topic name: Xyz::Foo
(13191|13191) Topic name: Xyz::Foo
(13190|13190) Check if 'udp1' is among supported [udp1]?
(13191|13191) Check if 'udp1' is among supported [udp1]?
(13191|13191) Writer::run_test begins.
(13191|13191)  14:28:27.020257 Writer::run_test starting to write pass 1
(13191|13191)  14:28:27.020911 Writer::run_test done writing.
(13191|13191)  14:28:28.021305 Writer::run_test starting to write pass 2
(13191|13191)  14:28:28.021679 Writer::run_test done writing.
(13191|13191)  14:28:29.021993 Writer::run_test starting to write pass 3
(13191|13191)  14:28:29.022355 Writer::run_test done writing.
(13191|13191)  14:28:30.022721 Writer::run_test starting to write pass 4
(13191|13191)  14:28:30.023065 Writer::run_test done writing.
(13191|13191)  14:28:31.023302 Writer::run_test starting to write pass 5
(13191|13191)  14:28:31.023685 Writer::run_test done writing.
(13191|13191) Writer::run_test finished.
(13190|13190) Shutting subscriber down ...
(13190|13190) deleting entities1
(13191|13191) deleting entities1
(13191|13191) deleting participant1
(13191|13191) Shutting publisher down ...
(13191|13191) Publisher shutdown complete.
(13191|13191) done.
(13190|13190) deleting participant1
(13190|13190) Subscriber shutting down svc part
(13190|13190) Subscriber shutdown complete
(13190|13190) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->26
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 13208
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 13215 started at 2023-04-19 14:28:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 13216 started at 2023-04-19 14:28:32
(13215|13215) Running colocation opt none
(13208|13208) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13216|13216) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13215|13215) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13216|13216) Topic name: Xyz::Foo
(13215|13215) Topic name: Xyz::Foo
(13216|13216) Check if 'udp1' is among supported [udp1]?
(13216|13216) Writer::run_test begins.
(13215|13215) Check if 'udp1' is among supported [udp1]?
(13216|13216)  14:28:32.423710 Writer::run_test starting to write pass 1
(13216|13216)  14:28:32.424383 Writer::run_test done writing.
(13216|13216)  14:28:33.424732 Writer::run_test starting to write pass 2
(13216|13216)  14:28:33.425089 Writer::run_test done writing.
(13216|13216)  14:28:34.425383 Writer::run_test starting to write pass 3
(13216|13216)  14:28:34.425830 Writer::run_test done writing.
(13216|13216)  14:28:35.426189 Writer::run_test starting to write pass 4
(13216|13216)  14:28:35.426553 Writer::run_test done writing.
(13216|13216)  14:28:36.426769 Writer::run_test starting to write pass 5
(13216|13216)  14:28:36.427104 Writer::run_test done writing.
(13216|13216) Writer::run_test finished.
(13215|13215) Shutting subscriber down ...
(13215|13215) deleting entities1
(13216|13216) deleting entities1
(13216|13216) deleting participant1
(13216|13216) Shutting publisher down ...
(13216|13216) Publisher shutdown complete.
(13216|13216) done.
(13215|13215) deleting participant1
(13215|13215) Subscriber shutting down svc part
(13215|13215) Subscriber shutdown complete
(13215|13215) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->27
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 13231
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -f _OPENDDS_0300_UDP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 13238 started at 2023-04-19 14:28:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e none -a none -s Udp_Only -t _OPENDDS_0300_UDP -t _OPENDDS_0410_MCAST_UNRELIABLE -t _OPENDDS_0420_MCAST_RELIABLE -t _OPENDDS_0500_TCP -f _OPENDDS_0300_UDP -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 13239 started at 2023-04-19 14:28:37
(13238|13238) Running colocation opt none
(13231|13231) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13239|13239) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13238|13238) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13239|13239) Topic name: Xyz::Foo
(13238|13238) Topic name: Xyz::Foo
(13239|13239) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13239|13239) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13239|13239) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13239|13239) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13239|13239) Writer::run_test begins.
(13238|13238) Check if '_OPENDDS_0300_UDP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13238|13238) Check if '_OPENDDS_0410_MCAST_UNRELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13238|13238) Check if '_OPENDDS_0420_MCAST_RELIABLE' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13238|13238) Check if '_OPENDDS_0500_TCP' is among supported [_OPENDDS_0300_UDP, _OPENDDS_0410_MCAST_UNRELIABLE, _OPENDDS_0420_MCAST_RELIABLE, _OPENDDS_0500_TCP]?
(13239|13239)  14:28:37.847069 Writer::run_test starting to write pass 1
(13239|13239)  14:28:37.847713 Writer::run_test done writing.
(13239|13239)  14:28:38.848062 Writer::run_test starting to write pass 2
(13239|13239)  14:28:38.848455 Writer::run_test done writing.
(13239|13239)  14:28:39.848697 Writer::run_test starting to write pass 3
(13239|13239)  14:28:39.849059 Writer::run_test done writing.
(13239|13239)  14:28:40.849387 Writer::run_test starting to write pass 4
(13239|13239)  14:28:40.849731 Writer::run_test done writing.
(13239|13239)  14:28:41.850043 Writer::run_test starting to write pass 5
(13239|13239)  14:28:41.850467 Writer::run_test done writing.
(13239|13239) Writer::run_test finished.
(13239|13239) Check if '_OPENDDS_0300_UDP' is among negotiated [_OPENDDS_0300_UDP]?
(13238|13238) Shutting subscriber down ...
(13238|13238) deleting entities1
(13239|13239) deleting entities1
(13239|13239) deleting participant1
(13239|13239) Shutting publisher down ...
(13239|13239) Publisher shutdown complete.
(13239|13239) done.
(13238|13238) deleting participant1
(13238|13238) Subscriber shutting down svc part
(13238|13238) Subscriber shutdown complete
(13238|13238) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->28
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 13274
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 13281 started at 2023-04-19 14:28:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e participant -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 13282 started at 2023-04-19 14:28:43
(13281|13281) Running colocation opt none
(13274|13274) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13282|13282) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13281|13281) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13282|13282) Topic name: Xyz::Foo
(13281|13281) Topic name: Xyz::Foo
(13282|13282) Check if 'udp1' is among supported [udp1]?
(13282|13282) Writer::run_test begins.
(13281|13281) Check if 'udp1' is among supported [udp1]?
(13282|13282)  14:28:43.260301 Writer::run_test starting to write pass 1
(13282|13282)  14:28:43.260917 Writer::run_test done writing.
(13281|13296) Check if 'udp1' is among negotiated [udp1]?
(13282|13282)  14:28:44.261241 Writer::run_test starting to write pass 2
(13282|13282)  14:28:44.261622 Writer::run_test done writing.
(13281|13296) Check if 'udp1' is among negotiated [udp1]?
(13282|13282)  14:28:45.261905 Writer::run_test starting to write pass 3
(13282|13282)  14:28:45.262263 Writer::run_test done writing.
(13281|13296) Check if 'udp1' is among negotiated [udp1]?
(13282|13282)  14:28:46.262560 Writer::run_test starting to write pass 4
(13282|13282)  14:28:46.262905 Writer::run_test done writing.
(13281|13296) Check if 'udp1' is among negotiated [udp1]?
(13282|13282)  14:28:47.263228 Writer::run_test starting to write pass 5
(13282|13282)  14:28:47.263596 Writer::run_test done writing.
(13281|13296) Check if 'udp1' is among negotiated [udp1]?
(13282|13282) Writer::run_test finished.
(13282|13282) Check if 'udp1' is among negotiated [udp1]?
(13281|13296) Check if 'udp1' is among negotiated [udp1]?
(13282|13282) deleting entities1
(13282|13282) deleting participant1
(13281|13281) Shutting subscriber down ...
(13281|13281) deleting entities1
(13282|13282) Shutting publisher down ...
(13282|13282) Publisher shutdown complete.
(13282|13282) done.
(13281|13281) deleting participant1
(13281|13281) Subscriber shutting down svc part
(13281|13281) Subscriber shutdown complete
(13281|13281) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->29
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 13298
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e participant -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 13305 started at 2023-04-19 14:28:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e participant -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 13306 started at 2023-04-19 14:28:48
(13305|13305) Running colocation opt none
(13298|13298) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13305|13305) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13306|13306) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13305|13305) Topic name: Xyz::Foo
(13306|13306) Topic name: Xyz::Foo
(13305|13305) Check if 'mytcp1' is among supported [mytcp1]?
(13306|13306) Check if 'mytcp1' is among supported [mytcp1]?
(13306|13306) Writer::run_test begins.
(13306|13306)  14:28:48.674094 Writer::run_test starting to write pass 1
(13306|13306)  14:28:48.674578 Writer::run_test done writing.
(13305|13318) Check if 'mytcp1' is among negotiated [mytcp1]?
(13306|13306)  14:28:49.674911 Writer::run_test starting to write pass 2
(13306|13306)  14:28:49.675181 Writer::run_test done writing.
(13305|13318) Check if 'mytcp1' is among negotiated [mytcp1]?
(13306|13306)  14:28:50.675505 Writer::run_test starting to write pass 3
(13306|13306)  14:28:50.675841 Writer::run_test done writing.
(13305|13318) Check if 'mytcp1' is among negotiated [mytcp1]?
(13306|13306)  14:28:51.676086 Writer::run_test starting to write pass 4
(13306|13306)  14:28:51.676326 Writer::run_test done writing.
(13305|13318) Check if 'mytcp1' is among negotiated [mytcp1]?
(13306|13306)  14:28:52.676558 Writer::run_test starting to write pass 5
(13306|13306)  14:28:52.676895 Writer::run_test done writing.
(13305|13318) Check if 'mytcp1' is among negotiated [mytcp1]?
(13306|13306) Writer::run_test finished.
(13306|13306) Check if 'mytcp1' is among negotiated [mytcp1]?
(13305|13318) Check if 'mytcp1' is among negotiated [mytcp1]?
(13305|13305) Shutting subscriber down ...
(13305|13305) deleting entities1
(13306|13306) deleting entities1
(13306|13306) deleting participant1
(13306|13306) Shutting publisher down ...
(13306|13306) Publisher shutdown complete.
(13306|13306) done.
(13305|13305) deleting participant1
(13305|13305) Subscriber shutting down svc part
(13305|13305) Subscriber shutdown complete
(13305|13305) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->30
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 13324
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 13331 started at 2023-04-19 14:28:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 13332 started at 2023-04-19 14:28:53
(13331|13331) Running colocation opt none
(13324|13324) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13332|13332) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13331|13331) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13332|13332) Topic name: Xyz::Foo
(13331|13331) Topic name: Xyz::Foo
(13332|13332) Check if 'udp1' is among supported [udp1]?
(13332|13332) Writer::run_test begins.
(13331|13331) Check if 'udp1' is among supported [udp1]?
(13332|13332)  14:28:54.096270 Writer::run_test starting to write pass 1
(13332|13332)  14:28:54.096917 Writer::run_test done writing.
(13331|13346) Check if 'udp1' is among negotiated [udp1]?
(13332|13332)  14:28:55.097287 Writer::run_test starting to write pass 2
(13332|13332)  14:28:55.097662 Writer::run_test done writing.
(13331|13346) Check if 'udp1' is among negotiated [udp1]?
(13332|13332)  14:28:56.097995 Writer::run_test starting to write pass 3
(13332|13332)  14:28:56.098356 Writer::run_test done writing.
(13331|13346) Check if 'udp1' is among negotiated [udp1]?
(13332|13332)  14:28:57.098688 Writer::run_test starting to write pass 4
(13332|13332)  14:28:57.099026 Writer::run_test done writing.
(13331|13346) Check if 'udp1' is among negotiated [udp1]?
(13332|13332)  14:28:58.099313 Writer::run_test starting to write pass 5
(13332|13332)  14:28:58.099673 Writer::run_test done writing.
(13331|13346) Check if 'udp1' is among negotiated [udp1]?
(13332|13332) Writer::run_test finished.
(13332|13332) Check if 'udp1' is among negotiated [udp1]?
(13331|13346) Check if 'udp1' is among negotiated [udp1]?
(13331|13331) Shutting subscriber down ...
(13332|13332) deleting entities1
(13331|13331) deleting entities1
(13332|13332) deleting participant1
(13332|13332) Shutting publisher down ...
(13332|13332) Publisher shutdown complete.
(13332|13332) done.
(13331|13331) deleting participant1
(13331|13331) Subscriber shutting down svc part
(13331|13331) Subscriber shutdown complete
(13331|13331) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->31
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 13347
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 13354 started at 2023-04-19 14:28:59
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e pubsub -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 13355 started at 2023-04-19 14:28:59
(13354|13354) Running colocation opt none
(13347|13347) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13355|13355) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13354|13354) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13355|13355) Topic name: Xyz::Foo
(13354|13354) Topic name: Xyz::Foo
(13355|13355) Check if 'mytcp1' is among supported [mytcp1]?
(13355|13355) Writer::run_test begins.
(13354|13354) Check if 'mytcp1' is among supported [mytcp1]?
(13355|13355)  14:28:59.512844 Writer::run_test starting to write pass 1
(13355|13355)  14:28:59.513362 Writer::run_test done writing.
(13354|13369) Check if 'mytcp1' is among negotiated [mytcp1]?
(13355|13355)  14:29:00.513699 Writer::run_test starting to write pass 2
(13355|13355)  14:29:00.513983 Writer::run_test done writing.
(13354|13369) Check if 'mytcp1' is among negotiated [mytcp1]?
(13355|13355)  14:29:01.514312 Writer::run_test starting to write pass 3
(13355|13355)  14:29:01.514590 Writer::run_test done writing.
(13354|13369) Check if 'mytcp1' is among negotiated [mytcp1]?
(13355|13355)  14:29:02.514943 Writer::run_test starting to write pass 4
(13355|13355)  14:29:02.515183 Writer::run_test done writing.
(13354|13369) Check if 'mytcp1' is among negotiated [mytcp1]?
(13355|13355)  14:29:03.515469 Writer::run_test starting to write pass 5
(13355|13355)  14:29:03.515766 Writer::run_test done writing.
(13354|13369) Check if 'mytcp1' is among negotiated [mytcp1]?
(13355|13355) Writer::run_test finished.
(13355|13355) Check if 'mytcp1' is among negotiated [mytcp1]?
(13354|13369) Check if 'mytcp1' is among negotiated [mytcp1]?
(13354|13354) Shutting subscriber down ...
(13354|13354) deleting entities1
(13355|13355) deleting entities1
(13355|13355) deleting participant1
(13355|13355) Shutting publisher down ...
(13354|13354) deleting participant1
(13355|13355) Publisher shutdown complete.
(13355|13355) done.
(13354|13354) Subscriber shutting down svc part
(13354|13354) Subscriber shutdown complete
(13354|13354) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->32
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 13373
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 13380 started at 2023-04-19 14:29:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Udp_Only -t udp1 -f udp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 13381 started at 2023-04-19 14:29:04
(13380|13380) Running colocation opt none
(13373|13373) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13381|13381) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13380|13380) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13381|13381) Topic name: Xyz::Foo
(13380|13380) Topic name: Xyz::Foo
(13381|13381) Check if 'udp1' is among supported [udp1]?
(13381|13381) Writer::run_test begins.
(13380|13380) Check if 'udp1' is among supported [udp1]?
(13381|13381)  14:29:04.945088 Writer::run_test starting to write pass 1
(13381|13381)  14:29:04.945814 Writer::run_test done writing.
(13380|13394) Check if 'udp1' is among negotiated [udp1]?
(13381|13381)  14:29:05.946171 Writer::run_test starting to write pass 2
(13381|13381)  14:29:05.946525 Writer::run_test done writing.
(13380|13394) Check if 'udp1' is among negotiated [udp1]?
(13381|13381)  14:29:06.946842 Writer::run_test starting to write pass 3
(13381|13381)  14:29:06.947203 Writer::run_test done writing.
(13380|13394) Check if 'udp1' is among negotiated [udp1]?
(13381|13381)  14:29:07.947470 Writer::run_test starting to write pass 4
(13381|13381)  14:29:07.947925 Writer::run_test done writing.
(13380|13394) Check if 'udp1' is among negotiated [udp1]?
(13381|13381)  14:29:08.948240 Writer::run_test starting to write pass 5
(13381|13381)  14:29:08.948582 Writer::run_test done writing.
(13380|13394) Check if 'udp1' is among negotiated [udp1]?
(13381|13381) Writer::run_test finished.
(13381|13381) Check if 'udp1' is among negotiated [udp1]?
(13380|13384) Check if 'udp1' is among negotiated [udp1]?
(13381|13381) deleting entities1
(13381|13381) deleting participant1
(13380|13380) Shutting subscriber down ...
(13380|13380) deleting entities1
(13381|13381) Shutting publisher down ...
(13381|13381) Publisher shutdown complete.
(13381|13381) done.
(13380|13380) deleting participant1
(13380|13380) Subscriber shutting down svc part
(13380|13380) Subscriber shutdown complete
(13380|13380) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->33
status->0
failed->0
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 13396
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/subscriber   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
subscriber PID: 13403 started at 2023-04-19 14:29:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ConfigTransports/publisher   -DCPSConfigFile transports.ini -c true -e rw -n false -a none -s Tcp_Only -t mytcp1 -f mytcp1 -d transient_local -k automatic -r best_effort -l 5 -x 5 -DCPSPendingTimeout 3 
publisher PID: 13404 started at 2023-04-19 14:29:10
(13403|13403) Running colocation opt none
(13396|13396) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13403|13403) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13404|13404) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13403|13403) Topic name: Xyz::Foo
(13404|13404) Topic name: Xyz::Foo
(13403|13403) Check if 'mytcp1' is among supported [mytcp1]?
(13404|13404) Check if 'mytcp1' is among supported [mytcp1]?
(13404|13404) Writer::run_test begins.
(13404|13404)  14:29:10.478350 Writer::run_test starting to write pass 1
(13404|13404)  14:29:10.478798 Writer::run_test done writing.
(13403|13416) Check if 'mytcp1' is among negotiated [mytcp1]?
(13404|13404)  14:29:11.479149 Writer::run_test starting to write pass 2
(13404|13404)  14:29:11.479397 Writer::run_test done writing.
(13403|13416) Check if 'mytcp1' is among negotiated [mytcp1]?
(13404|13404)  14:29:12.479720 Writer::run_test starting to write pass 3
(13404|13404)  14:29:12.479960 Writer::run_test done writing.
(13403|13416) Check if 'mytcp1' is among negotiated [mytcp1]?
(13404|13404)  14:29:13.480291 Writer::run_test starting to write pass 4
(13404|13404)  14:29:13.480534 Writer::run_test done writing.
(13403|13416) Check if 'mytcp1' is among negotiated [mytcp1]?
(13404|13404)  14:29:14.480856 Writer::run_test starting to write pass 5
(13404|13404)  14:29:14.481075 Writer::run_test done writing.
(13403|13416) Check if 'mytcp1' is among negotiated [mytcp1]?
(13404|13404) Writer::run_test finished.
(13404|13404) Check if 'mytcp1' is among negotiated [mytcp1]?
(13403|13416) Check if 'mytcp1' is among negotiated [mytcp1]?
(13403|13403) Shutting subscriber down ...
(13403|13403) deleting entities1
(13404|13404) deleting entities1
(13404|13404) deleting participant1
(13404|13404) Shutting publisher down ...
(13403|13403) deleting participant1
(13404|13404) Publisher shutdown complete.
(13404|13404) done.
(13403|13403) Subscriber shutting down svc part
(13403|13403) Subscriber shutdown complete
(13403|13403) done.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.
count->34
status->0
failed->0
PASSED: 34

auto_run_tests_finished: tests/DCPS/ConfigTransports/run_test.pl Time:152s Result:0

==============================================================================

tests/DCPS/RtpsMessages/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsMessages/RtpsMessagesTest  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile RtpsMessagesTest.log -DCPSPendingTimeout 3 
RtpsMessagesTest PID: 13423 started at 2023-04-19 14:29:15
test PASSED.

auto_run_tests_finished: tests/DCPS/RtpsMessages/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/RtpsDiscovery/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
test PID: 13425 started at 2023-04-19 14:29:15
(13425|13425) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
13425 Sub Domain Participant GUID=0103000c.297a35f2.34711fdf.000001c1(211e5d81)
13425 Pub Domain Participant GUID=0103000c.297a35f2.34711fe0.000001c1(026f72d6)
13425 Read Participant BIT GUID=0103000c.297a35f2.34711fe0.000001c1(026f72d6) handle=3
13425 0103000c.297a35f2.34711fdf.000001c1(211e5d81) discovered 0103000c.297a35f2.34711fe0.000001c1(026f72d6)
13425 0103000c.297a35f2.34711fe0.000001c1(026f72d6) discovered 0103000c.297a35f2.34711fdf.000001c1(211e5d81)
13425 Read Publication BIT with key: 0103000c.297a35f2.34711fe0.00000003(6e1ee00b) and handle 10
	Participant's GUID=0103000c.297a35f2.34711fe0.000001c1(026f72d6)
	Topic: Movie Discussion List	Type: TestMsg
13425 Read Subscription BIT with key: 0103000c.297a35f2.34711fdf.00000004(d30b5aff) and handle 12
	Participant's GUID=0103000c.297a35f2.34711fdf.000001c1(211e5d81)
	Topic: Movie Discussion List	Type: TestMsg
13425 Read Publication BIT with key: 0103000c.297a35f2.34711fe0.01000003(d6a2876e) and handle 13
	Participant's GUID=0103000c.297a35f2.34711fe0.000001c1(026f72d6)
	Topic: Movie Discussion List	Type: TestMsg
13425 Read data sample: 42
13425 Read Participant BIT GUID=0103000c.297a35f2.34711fe0.000001c1(026f72d6) handle=3
13425 Read Publication BIT with key: 0103000c.297a35f2.34711fe0.01000003(d6a2876e) and handle 13
	Participant's GUID=0103000c.297a35f2.34711fe0.000001c1(026f72d6)
	Topic: Movie Discussion List	Type: TestMsg
13425 Read Subscription BIT with key: 0103000c.297a35f2.34711fdf.00000004(d30b5aff) and handle 12
	Participant's GUID=0103000c.297a35f2.34711fdf.000001c1(211e5d81)
	Topic: Movie Discussion List	Type: TestMsg
13425 Read Publication BIT with key: 0103000c.297a35f2.34711fe0.01000003(d6a2876e) and handle 13
	Participant's GUID=0103000c.297a35f2.34711fe0.000001c1(026f72d6)
	Topic: Movie Discussion List	Type: TestMsg
13425 Read Subscription BIT with key: 0103000c.297a35f2.34711fdf.00000004(d30b5aff) and handle 12
	Participant's GUID=0103000c.297a35f2.34711fdf.000001c1(211e5d81)
	Topic: Movie Discussion List	Type: TestMsg
13425 Cleaning up test
test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_tcp.ini -DCPSPendingTimeout 3 
test PID: 13435 started at 2023-04-19 14:29:27
13435 Sub Domain Participant GUID=0103000c.297a35f2.347bea2e.000001c1(b565f388)
13435 Pub Domain Participant GUID=0103000c.297a35f2.347bea2f.000001c1(8805da38)
13435 Read Participant BIT GUID=0103000c.297a35f2.347bea2f.000001c1(8805da38) handle=3
13435 0103000c.297a35f2.347bea2e.000001c1(b565f388) discovered 0103000c.297a35f2.347bea2f.000001c1(8805da38)
13435 0103000c.297a35f2.347bea2f.000001c1(8805da38) discovered 0103000c.297a35f2.347bea2e.000001c1(b565f388)
(13435|13435) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
13435 Read Publication BIT with key: 0103000c.297a35f2.347bea2f.00000003(e47448e5) and handle 10
	Participant's GUID=0103000c.297a35f2.347bea2f.000001c1(8805da38)
	Topic: Movie Discussion List	Type: TestMsg
13435 Read Subscription BIT with key: 0103000c.297a35f2.347bea2e.00000004(4770f4f6) and handle 12
	Participant's GUID=0103000c.297a35f2.347bea2e.000001c1(b565f388)
	Topic: Movie Discussion List	Type: TestMsg
13435 Read Publication BIT with key: 0103000c.297a35f2.347bea2f.01000003(5cc82f80) and handle 13
	Participant's GUID=0103000c.297a35f2.347bea2f.000001c1(8805da38)
	Topic: Movie Discussion List	Type: TestMsg
13435 Read data sample: 42
13435 Read Participant BIT GUID=0103000c.297a35f2.347bea2f.000001c1(8805da38) handle=3
13435 Read Publication BIT with key: 0103000c.297a35f2.347bea2f.01000003(5cc82f80) and handle 13
	Participant's GUID=0103000c.297a35f2.347bea2f.000001c1(8805da38)
	Topic: Movie Discussion List	Type: TestMsg
13435 Read Subscription BIT with key: 0103000c.297a35f2.347bea2e.00000004(4770f4f6) and handle 12
	Participant's GUID=0103000c.297a35f2.347bea2e.000001c1(b565f388)
	Topic: Movie Discussion List	Type: TestMsg
13435 Read Publication BIT with key: 0103000c.297a35f2.347bea2f.01000003(5cc82f80) and handle 13
	Participant's GUID=0103000c.297a35f2.347bea2f.000001c1(8805da38)
	Topic: Movie Discussion List	Type: TestMsg
13435 Read Subscription BIT with key: 0103000c.297a35f2.347bea2e.00000004(4770f4f6) and handle 12
	Participant's GUID=0103000c.297a35f2.347bea2e.000001c1(b565f388)
	Topic: Movie Discussion List	Type: TestMsg
13435 Cleaning up test
test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini -DCPSPendingTimeout 3 
test PID: 13443 started at 2023-04-19 14:29:39
(13443|13443) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
13443 Sub Domain Participant GUID=0103000c.297a35f2.34839644.000001c1(4d962560)
13443 Pub Domain Participant GUID=0103000c.297a35f2.34839645.000001c1(70f60cd0)
13443 Read Participant BIT GUID=0103000c.297a35f2.34839645.000001c1(70f60cd0) handle=3
13443 0103000c.297a35f2.34839644.000001c1(4d962560) discovered 0103000c.297a35f2.34839645.000001c1(70f60cd0)
13443 0103000c.297a35f2.34839645.000001c1(70f60cd0) discovered 0103000c.297a35f2.34839644.000001c1(4d962560)
13443 Read Publication BIT with key: 0103000c.297a35f2.34839645.00000003(1c879e0d) and handle 10
	Participant's GUID=0103000c.297a35f2.34839645.000001c1(70f60cd0)
	Topic: Movie Discussion List	Type: TestMsg
13443 Read Subscription BIT with key: 0103000c.297a35f2.34839644.00000004(bf83221e) and handle 12
	Participant's GUID=0103000c.297a35f2.34839644.000001c1(4d962560)
	Topic: Movie Discussion List	Type: TestMsg
13443 Read Publication BIT with key: 0103000c.297a35f2.34839645.01000003(a43bf968) and handle 13
	Participant's GUID=0103000c.297a35f2.34839645.000001c1(70f60cd0)
	Topic: Movie Discussion List	Type: TestMsg
13443 Read data sample: 42
13443 Read Participant BIT GUID=0103000c.297a35f2.34839645.000001c1(70f60cd0) handle=3
13443 Read Publication BIT with key: 0103000c.297a35f2.34839645.01000003(a43bf968) and handle 13
	Participant's GUID=0103000c.297a35f2.34839645.000001c1(70f60cd0)
	Topic: Movie Discussion List	Type: TestMsg
13443 Read Subscription BIT with key: 0103000c.297a35f2.34839644.00000004(bf83221e) and handle 12
	Participant's GUID=0103000c.297a35f2.34839644.000001c1(4d962560)
	Topic: Movie Discussion List	Type: TestMsg
13443 Read Publication BIT with key: 0103000c.297a35f2.34839645.01000003(a43bf968) and handle 13
	Participant's GUID=0103000c.297a35f2.34839645.000001c1(70f60cd0)
	Topic: Movie Discussion List	Type: TestMsg
13443 Read Subscription BIT with key: 0103000c.297a35f2.34839644.00000004(bf83221e) and handle 12
	Participant's GUID=0103000c.297a35f2.34839644.000001c1(4d962560)
	Topic: Movie Discussion List	Type: TestMsg
13443 Cleaning up test
test PASSED.
Running sedp discovery leak test (different user data)
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini -DCPSPendingTimeout 3 
test1 PID: 13461 started at 2023-04-19 14:29:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini -value_base 100 -DCPSPendingTimeout 3 
test2 PID: 13462 started at 2023-04-19 14:29:50
(13462|13462) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(13461|13461) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
13462 TestConfig::set base=100
13462 Sub Domain Participant GUID=0103000c.297a35f2.3496e8cd.000001c1(f5ae285b)
13462 Pub Domain Participant GUID=0103000c.297a35f2.3496e8ce.000001c1(b20e528b)
13462 Read Participant BIT GUID=0103000c.297a35f2.3496e8ce.000001c1(b20e528b) handle=3
13462 0103000c.297a35f2.3496e8cd.000001c1(f5ae285b) discovered 0103000c.297a35f2.3496e8ce.000001c1(b20e528b)
13461 Sub Domain Participant GUID=0103000c.297a35f2.34958e7f.000001c1(70ec85f5)
13461 Pub Domain Participant GUID=0103000c.297a35f2.34958e80.000001c1(ba884279)
13461 Read Participant BIT GUID=0103000c.297a35f2.34958e80.000001c1(ba884279) handle=3
13461 0103000c.297a35f2.34958e7f.000001c1(70ec85f5) discovered 0103000c.297a35f2.34958e80.000001c1(ba884279)
13462 0103000c.297a35f2.3496e8ce.000001c1(b20e528b) discovered 0103000c.297a35f2.3496e8cd.000001c1(f5ae285b)
13462 Read Publication BIT with key: 0103000c.297a35f2.3496e8ce.00000003(de7fc056) and handle 10
	Participant's GUID=0103000c.297a35f2.3496e8ce.000001c1(b20e528b)
	Topic: Movie Discussion List	Type: TestMsg
13461 0103000c.297a35f2.34958e80.000001c1(ba884279) discovered 0103000c.297a35f2.34958e7f.000001c1(70ec85f5)
13462 Read Subscription BIT with key: 0103000c.297a35f2.3496e8cd.00000004(07bb2f25) and handle 12
	Participant's GUID=0103000c.297a35f2.3496e8cd.000001c1(f5ae285b)
	Topic: Movie Discussion List	Type: TestMsg
13461 Read Publication BIT with key: 0103000c.297a35f2.34958e80.00000003(d6f9d0a4) and handle 10
	Participant's GUID=0103000c.297a35f2.34958e80.000001c1(ba884279)
	Topic: Movie Discussion List	Type: TestMsg
13461 Read Subscription BIT with key: 0103000c.297a35f2.34958e7f.00000004(82f9828b) and handle 12
	Participant's GUID=0103000c.297a35f2.34958e7f.000001c1(70ec85f5)
	Topic: Movie Discussion List	Type: TestMsg
13462 Read Publication BIT with key: 0103000c.297a35f2.3496e8ce.01000003(66c3a733) and handle 13
	Participant's GUID=0103000c.297a35f2.3496e8ce.000001c1(b20e528b)
	Topic: Movie Discussion List	Type: TestMsg
13462 Read data sample: 42
13461 Read Publication BIT with key: 0103000c.297a35f2.34958e80.01000003(6e45b7c1) and handle 13
	Participant's GUID=0103000c.297a35f2.34958e80.000001c1(ba884279)
	Topic: Movie Discussion List	Type: TestMsg
13461 Read data sample: 42
13462 Read Participant BIT GUID=0103000c.297a35f2.3496e8ce.000001c1(b20e528b) handle=3
13462 Read Publication BIT with key: 0103000c.297a35f2.3496e8ce.01000003(66c3a733) and handle 13
	Participant's GUID=0103000c.297a35f2.3496e8ce.000001c1(b20e528b)
	Topic: Movie Discussion List	Type: TestMsg
13462 Read Subscription BIT with key: 0103000c.297a35f2.3496e8cd.00000004(07bb2f25) and handle 12
	Participant's GUID=0103000c.297a35f2.3496e8cd.000001c1(f5ae285b)
	Topic: Movie Discussion List	Type: TestMsg
13461 Read Participant BIT GUID=0103000c.297a35f2.34958e80.000001c1(ba884279) handle=3
13461 Read Publication BIT with key: 0103000c.297a35f2.34958e80.01000003(6e45b7c1) and handle 13
	Participant's GUID=0103000c.297a35f2.34958e80.000001c1(ba884279)
	Topic: Movie Discussion List	Type: TestMsg
13461 Read Subscription BIT with key: 0103000c.297a35f2.34958e7f.00000004(82f9828b) and handle 12
	Participant's GUID=0103000c.297a35f2.34958e7f.000001c1(70ec85f5)
	Topic: Movie Discussion List	Type: TestMsg
13462 Read Publication BIT with key: 0103000c.297a35f2.3496e8ce.01000003(66c3a733) and handle 13
	Participant's GUID=0103000c.297a35f2.3496e8ce.000001c1(b20e528b)
	Topic: Movie Discussion List	Type: TestMsg
13462 Read Subscription BIT with key: 0103000c.297a35f2.3496e8cd.00000004(07bb2f25) and handle 12
	Participant's GUID=0103000c.297a35f2.3496e8cd.000001c1(f5ae285b)
	Topic: Movie Discussion List	Type: TestMsg
13461 Read Publication BIT with key: 0103000c.297a35f2.34958e80.01000003(6e45b7c1) and handle 13
	Participant's GUID=0103000c.297a35f2.34958e80.000001c1(ba884279)
	Topic: Movie Discussion List	Type: TestMsg
13461 Read Subscription BIT with key: 0103000c.297a35f2.34958e7f.00000004(82f9828b) and handle 12
	Participant's GUID=0103000c.297a35f2.34958e7f.000001c1(70ec85f5)
	Topic: Movie Discussion List	Type: TestMsg
13462 Cleaning up test
13461 Cleaning up test
test PASSED.
Running sedp discovery leak test (same user data)
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini -DCPSPendingTimeout 3 
test1 PID: 13487 started at 2023-04-19 14:30:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/RtpsDiscovery/RtpsDiscoveryTest -DCPSConfigFile rtps_disc_group.ini  -DCPSPendingTimeout 3 
test2 PID: 13488 started at 2023-04-19 14:30:02
(13487|13487) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(13488|13488) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
13488 Sub Domain Participant GUID=0103000c.297a35f2.34b086a5.000001c1(c27f765b)
13488 Pub Domain Participant GUID=0103000c.297a35f2.34b086a6.000001c1(85df0c8b)
13487 Sub Domain Participant GUID=0103000c.297a35f2.34afc02b.000001c1(843e7dfe)
13487 Pub Domain Participant GUID=0103000c.297a35f2.34afc02c.000001c1(361ea1ee)
13487 Read Participant BIT GUID=0103000c.297a35f2.34afc02c.000001c1(361ea1ee) handle=3
13488 Read Participant BIT GUID=0103000c.297a35f2.34b086a6.000001c1(85df0c8b) handle=3
13488 0103000c.297a35f2.34b086a5.000001c1(c27f765b) discovered 0103000c.297a35f2.34b086a6.000001c1(85df0c8b)
13487 0103000c.297a35f2.34afc02b.000001c1(843e7dfe) discovered 0103000c.297a35f2.34afc02c.000001c1(361ea1ee)
13487 0103000c.297a35f2.34afc02c.000001c1(361ea1ee) discovered 0103000c.297a35f2.34afc02b.000001c1(843e7dfe)
13488 0103000c.297a35f2.34b086a6.000001c1(85df0c8b) discovered 0103000c.297a35f2.34b086a5.000001c1(c27f765b)
13488 Read Publication BIT with key: 0103000c.297a35f2.34b086a6.00000003(e9ae9e56) and handle 10
	Participant's GUID=0103000c.297a35f2.34b086a6.000001c1(85df0c8b)
	Topic: Movie Discussion List	Type: TestMsg
13487 Read Publication BIT with key: 0103000c.297a35f2.34afc02c.00000003(5a6f3333) and handle 10
	Participant's GUID=0103000c.297a35f2.34afc02c.000001c1(361ea1ee)
	Topic: Movie Discussion List	Type: TestMsg
13487 Read Subscription BIT with key: 0103000c.297a35f2.34afc02b.00000004(762b7a80) and handle 12
	Participant's GUID=0103000c.297a35f2.34afc02b.000001c1(843e7dfe)
	Topic: Movie Discussion List	Type: TestMsg
13488 Read Subscription BIT with key: 0103000c.297a35f2.34b086a5.00000004(306a7125) and handle 12
	Participant's GUID=0103000c.297a35f2.34b086a5.000001c1(c27f765b)
	Topic: Movie Discussion List	Type: TestMsg
13488 Read Publication BIT with key: 0103000c.297a35f2.34b086a6.01000003(5112f933) and handle 13
	Participant's GUID=0103000c.297a35f2.34b086a6.000001c1(85df0c8b)
	Topic: Movie Discussion List	Type: TestMsg
13487 Read Publication BIT with key: 0103000c.297a35f2.34afc02c.01000003(e2d35456) and handle 13
	Participant's GUID=0103000c.297a35f2.34afc02c.000001c1(361ea1ee)
	Topic: Movie Discussion List	Type: TestMsg
13488 Read data sample: 42
13487 Read data sample: 42
13488 Read Participant BIT GUID=0103000c.297a35f2.34b086a6.000001c1(85df0c8b) handle=3
13488 Read Publication BIT with key: 0103000c.297a35f2.34b086a6.01000003(5112f933) and handle 13
	Participant's GUID=0103000c.297a35f2.34b086a6.000001c1(85df0c8b)
	Topic: Movie Discussion List	Type: TestMsg
13488 Read Subscription BIT with key: 0103000c.297a35f2.34b086a5.00000004(306a7125) and handle 12
	Participant's GUID=0103000c.297a35f2.34b086a5.000001c1(c27f765b)
	Topic: Movie Discussion List	Type: TestMsg
13487 Read Participant BIT GUID=0103000c.297a35f2.34afc02c.000001c1(361ea1ee) handle=3
13487 Read Publication BIT with key: 0103000c.297a35f2.34afc02c.01000003(e2d35456) and handle 13
	Participant's GUID=0103000c.297a35f2.34afc02c.000001c1(361ea1ee)
	Topic: Movie Discussion List	Type: TestMsg
13487 Read Subscription BIT with key: 0103000c.297a35f2.34afc02b.00000004(762b7a80) and handle 12
	Participant's GUID=0103000c.297a35f2.34afc02b.000001c1(843e7dfe)
	Topic: Movie Discussion List	Type: TestMsg
13488 Read Publication BIT with key: 0103000c.297a35f2.34b086a6.01000003(5112f933) and handle 13
	Participant's GUID=0103000c.297a35f2.34b086a6.000001c1(85df0c8b)
	Topic: Movie Discussion List	Type: TestMsg
13488 Read Subscription BIT with key: 0103000c.297a35f2.34b086a5.00000004(306a7125) and handle 12
	Participant's GUID=0103000c.297a35f2.34b086a5.000001c1(c27f765b)
	Topic: Movie Discussion List	Type: TestMsg
13487 Read Publication BIT with key: 0103000c.297a35f2.34afc02c.01000003(e2d35456) and handle 13
	Participant's GUID=0103000c.297a35f2.34afc02c.000001c1(361ea1ee)
	Topic: Movie Discussion List	Type: TestMsg
13487 Read Subscription BIT with key: 0103000c.297a35f2.34afc02b.00000004(762b7a80) and handle 12
	Participant's GUID=0103000c.297a35f2.34afc02b.000001c1(843e7dfe)
	Topic: Movie Discussion List	Type: TestMsg
13488 Cleaning up test
13487 Cleaning up test
test PASSED.

auto_run_tests_finished: tests/DCPS/RtpsDiscovery/run_test.pl Time:58s Result:0

==============================================================================

tests/DCPS/MultiDiscovery/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 13509
*********************************
MultiDiscoveryTest creates 3 processes, each with a DW and DR.
DW's in each process use different forms of discovery to find/associate with the DR in their respective domain.
One DW is specified as the 'origin' and sends 10 messages to its associated DR.
Upon receipt, DR's pass the message to their process's DW which add's its id to the message's from field
and relays the messgae on until the loop is completed at the 'origin' DR
*********************************
Spawning alpha - Writer (12) in domain 12 using default discovery and Reader (13) in domain 31 using rtps discovery
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDiscovery/MultiDiscoveryTest -DCPSConfigFile config.ini -DCPSDebugLevel 0 -origin 1 -reliable 1 -dw_static_disc 0 -dr_static_disc 0 -wdomain 12 -rdomain 31 -writer 000012 -reader 000013 -DCPSPendingTimeout 3 
alpha PID: 13516 started at 2023-04-19 14:30:13
Spawning beta - Writer (23) in domain 23 using static discovery and Reader (21) in domain 12 using default discovery
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDiscovery/MultiDiscoveryTest -DCPSConfigFile config.ini -DCPSDebugLevel 0 -origin 0 -reliable 1 -dw_static_disc 1 -dr_static_disc 0 -wdomain 23 -rdomain 12 -dw_participant 000000000023 -writer 000023 -reader 000021 -DCPSPendingTimeout 3 
beta PID: 13517 started at 2023-04-19 14:30:13
Spawning gamma - Writer (31) in domain 31 using rtps discovery and Reader (32) in domain 23 using static discovery
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/MultiDiscovery/MultiDiscoveryTest -DCPSConfigFile config.ini -DCPSDebugLevel 0 -origin 0 -reliable 1 -dw_static_disc 0 -dr_static_disc 1 -wdomain 31 -rdomain 23 -dr_participant 000000000032 -writer 000031 -reader 000032 -DCPSPendingTimeout 3 
gamma PID: 13518 started at 2023-04-19 14:30:13
(13516|13516) INFO: set_DCPS_debug_level: set to 0
(13517|13517) INFO: set_DCPS_debug_level: set to 0
(13518|13518) INFO: set_DCPS_debug_level: set to 0
(13516|13516) NOTICE: using DCPSDebugLevel value from command option (overrides value if it's in config file)
(13516|13516) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(13518|13518) NOTICE: using DCPSDebugLevel value from command option (overrides value if it's in config file)
(13518|13518) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(13517|13517) NOTICE: using DCPSDebugLevel value from command option (overrides value if it's in config file)
(13517|13517) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(13516|13516) Service_Participant::get_domain_participant_factory: This is OpenDDS 3.25.0-dev using ACE 6.2a_p27
(13516|13516) Service_Participant::get_domain_participant_factory: log_level: debug DCPS_debug_level: 0
(13516|13516) Service_Participant::get_domain_participant_factory: machine: flea, x86_64 platform: Linux, 2.6.32-642.el6.x86_64, #1 SMP Wed Apr 13 00:51:26 EDT 2016
(13516|13516) Service_Participant::get_domain_participant_factory: compiler: g++ version 4.4.0
(13517|13517) Service_Participant::get_domain_participant_factory: This is OpenDDS 3.25.0-dev using ACE 6.2a_p27
(13517|13517) Service_Participant::get_domain_participant_factory: log_level: debug DCPS_debug_level: 0
(13517|13517) Service_Participant::get_domain_participant_factory: machine: flea, x86_64 platform: Linux, 2.6.32-642.el6.x86_64, #1 SMP Wed Apr 13 00:51:26 EDT 2016
(13517|13517) Service_Participant::get_domain_participant_factory: compiler: g++ version 4.4.0
(13516|13516) main() - writer domain: 12
(13516|13516) main() - reader domain: 31
(13518|13518) Service_Participant::get_domain_participant_factory: This is OpenDDS 3.25.0-dev using ACE 6.2a_p27
(13518|13518) Service_Participant::get_domain_participant_factory: log_level: debug DCPS_debug_level: 0
(13518|13518) Service_Participant::get_domain_participant_factory: machine: flea, x86_64 platform: Linux, 2.6.32-642.el6.x86_64, #1 SMP Wed Apr 13 00:51:26 EDT 2016
(13518|13518) Service_Participant::get_domain_participant_factory: compiler: g++ version 4.4.0
(13518|13518) main() - writer domain: 31
(13518|13518) main() - reader domain: 23
(13517|13517) main() - writer domain: 23
(13517|13517) main() - reader domain: 12
(13518|13518) Starting DataWriter 000031
(13518|13525) INFO: MulticastManager::process: joined group 239.255.0.1:15282 on eth0/10.201.200.79 (0x16854d0 joined count 1)
(13518|13525) INFO: MulticastManager::process: joined group ff03::1:15282 on eth0/fe80::20c:29ff:fe7a:35f2 (0x16854d0 joined count 2)
(13518|13525) INFO: MulticastManager::process: joined group 239.255.0.1:15282 on lo/127.0.0.1 (0x16854d0 joined count 3)
(13518|13525) INFO: MulticastManager::process: joined group ff03::1:15282 on lo/::1 (0x16854d0 joined count 4)
(13518|13525) INFO: MulticastManager::process: joined group 239.255.0.1:15282 on virbr0/192.168.122.1 (0x16854d0 joined count 5)
(13516|13526) INFO: MulticastManager::process: joined group 239.255.0.1:15282 on eth0/10.201.200.79 (0x19467a0 joined count 1)
(13516|13526) INFO: MulticastManager::process: joined group ff03::1:15282 on eth0/fe80::20c:29ff:fe7a:35f2 (0x19467a0 joined count 2)
(13516|13526) INFO: MulticastManager::process: joined group 239.255.0.1:15282 on lo/127.0.0.1 (0x19467a0 joined count 3)
(13516|13526) INFO: MulticastManager::process: joined group ff03::1:15282 on lo/::1 (0x19467a0 joined count 4)
(13516|13526) INFO: MulticastManager::process: joined group 239.255.0.1:15282 on virbr0/192.168.122.1 (0x19467a0 joined count 5)
(13518|13518) Starting DataReader 000032 using writer with id: 000031
(13509|13509) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13517|13517) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13516|13516) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
(13517|13517) Starting DataWriter 000023
(13516|13516) Starting DataWriter 000012
(13516|13516) Starting DataReader 000013 using writer with id: 000012
(13516|13516) DataWriter 000012 is the 'origin' so spawn writer task
(13516|13543) WriterTask::svc - starting for writer_id: 000012
(13516|13543) DataWriter 000012 has 0 of 1 readers
(13517|13517) Starting DataReader 000021 using writer with id: 000023
(13516|13543) DataWriter 000012 has 1 of 1 readers
(13517|13546) DataReader 000021 has received message: 0 from: 000012
(13517|13546) DataWriter 000023 has 0 of 1 readers
(13516|13543) DataWriter 000012 is waiting for acknowledgments
(13517|13546) DataWriter 000023 has 1 of 1 readers
(13518|13533) DataReader 000032 has received message: 0 from: 000012->000023
(13518|13533) DataWriter 000031 has 1 of 1 readers
(13517|13546) DataReader 000021 has received message: 1 from: 000012
(13517|13546) DataWriter 000023 has 1 of 1 readers
(13518|13533) DataReader 000032 has received message: 1 from: 000012->000023
(13518|13533) DataWriter 000031 has 1 of 1 readers
(13517|13546) DataReader 000021 has received message: 2 from: 000012
(13517|13546) DataWriter 000023 has 1 of 1 readers
(13518|13533) DataReader 000032 has received message: 2 from: 000012->000023
(13518|13533) DataWriter 000031 has 1 of 1 readers
(13517|13546) DataReader 000021 has received message: 3 from: 000012
(13517|13546) DataWriter 000023 has 1 of 1 readers
(13518|13533) DataReader 000032 has received message: 3 from: 000012->000023
(13518|13533) DataWriter 000031 has 1 of 1 readers
(13517|13546) DataReader 000021 has received message: 4 from: 000012
(13517|13546) DataWriter 000023 has 1 of 1 readers
(13518|13533) DataReader 000032 has received message: 4 from: 000012->000023
(13518|13533) DataWriter 000031 has 1 of 1 readers
(13517|13546) DataReader 000021 has received message: 5 from: 000012
(13517|13546) DataWriter 000023 has 1 of 1 readers
(13518|13533) DataReader 000032 has received message: 5 from: 000012->000023
(13518|13533) DataWriter 000031 has 1 of 1 readers
(13517|13546) DataReader 000021 has received message: 6 from: 000012
(13517|13546) DataWriter 000023 has 1 of 1 readers
(13518|13533) DataReader 000032 has received message: 6 from: 000012->000023
(13518|13533) DataWriter 000031 has 1 of 1 readers
(13517|13546) DataReader 000021 has received message: 7 from: 000012
(13517|13546) DataWriter 000023 has 1 of 1 readers
(13518|13533) DataReader 000032 has received message: 7 from: 000012->000023
(13518|13533) DataWriter 000031 has 1 of 1 readers
(13517|13546) DataReader 000021 has received message: 8 from: 000012
(13517|13546) DataWriter 000023 has 1 of 1 readers
(13518|13533) DataReader 000032 has received message: 8 from: 000012->000023
(13518|13533) DataWriter 000031 has 1 of 1 readers
(13517|13546) DataReader 000021 has received message: 9 from: 000012
(13517|13546) DataWriter 000023 has 1 of 1 readers
(13518|13533) DataReader 000032 has received message: 9 from: 000012->000023
(13518|13533) DataWriter 000031 has 1 of 1 readers
(13518|13533) DataReader 000032 has received expected number of samples
(13518|13533) DataWriter 000031 is waiting for acknowledgments
(13517|13546) DataReader 000021 has received expected number of samples
(13517|13546) DataWriter 000023 is waiting for acknowledgments
(13516|13542) DataReader 000013 has received message: 0 from: 000012->000023->000031
(13516|13542) DataReader 000013 has received message: 1 from: 000012->000023->000031
(13516|13542) DataReader 000013 has received message: 2 from: 000012->000023->000031
(13516|13542) DataReader 000013 has received message: 3 from: 000012->000023->000031
(13516|13542) DataReader 000013 has received message: 4 from: 000012->000023->000031
(13516|13542) DataReader 000013 has received message: 5 from: 000012->000023->000031
(13516|13542) DataReader 000013 has received message: 6 from: 000012->000023->000031
(13516|13542) DataReader 000013 has received message: 7 from: 000012->000023->000031
(13516|13542) DataReader 000013 has received message: 8 from: 000012->000023->000031
(13516|13542) DataReader 000013 has received message: 9 from: 000012->000023->000031
(13516|13542) DataReader 000013 has received expected number of samples
(13516|13543) DataWriter 000012 is done
(13516|13516) DataReader 000013 is done
(13516|13516) DataReader 000013 Expected number of samples received
(13518|13518) DataReader 000032 is done
(13518|13518) DataReader 000032 Expected number of samples received
(13517|13517) DataReader 000021 is done
(13517|13517) DataReader 000021 Expected number of samples received
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/MultiDiscovery/run_test.pl Time:8s Result:0

==============================================================================

tests/DCPS/DomainRange/run_test.pl #

*********************************
DomainRangeTest creates a single process with 1 DW and 4 DRs.

Domains and transports are dynamically configured from the
templates in config.ini. The DW in each domain sends 10
messages to its DRs.
*********************************
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DomainRange/DomainRangeTest -DCPSConfigFile config.ini -DCPSDebugLevel 10  -domain 2 -domain 8 -domain 20 -domain 50 -domain 8 -DCPSTransportDebugLevel 1 -ORBLogFile alpha.log -DCPSPendingTimeout 3 
alpha PID: 13549 started at 2023-04-19 14:30:21
test PASSED.
*********************************
DomainRangeTest creates a single process with 1 DW and 4 DRs.

Domains and transports are dynamically configured from the
templates in config.ini. The DW in each domain sends 10
messages to its DRs.
*********************************
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DomainRange/DomainRangeTest -DCPSConfigFile config.ini -DCPSDebugLevel 10 -bind secondary_config -domain 2 -domain 8 -domain 20 -domain 50 -domain 8 -DCPSTransportDebugLevel 1 -ORBLogFile alpha.log -DCPSPendingTimeout 3 
alpha PID: 13656 started at 2023-04-19 14:30:30
test PASSED.

auto_run_tests_finished: tests/DCPS/DomainRange/run_test.pl Time:19s Result:0

==============================================================================

tests/DCPS/StaticDiscoveryReconnect/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/StaticDiscoveryTest -DCPSConfigFile config.ini -writer -DCPSPendingTimeout 3 
writer1 PID: 13764 started at 2023-04-19 14:30:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/StaticDiscoveryTest -DCPSConfigFile config.ini -reader -toggle -DCPSPendingTimeout 3 
reader1 PID: 13765 started at 2023-04-19 14:30:40
(13765|13765) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(13764|13764) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
Creating reader
Writer found reader
Deleting reader
Writer lost reader
Creating reader
Writer found reader
test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/StaticDiscoveryTest -DCPSConfigFile config.ini -reader -DCPSPendingTimeout 3 
reader2 PID: 13780 started at 2023-04-19 14:31:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/StaticDiscoveryReconnect/StaticDiscoveryTest -DCPSConfigFile config.ini -writer -toggle -DCPSPendingTimeout 3 
writer2 PID: 13781 started at 2023-04-19 14:31:13
(13781|13781) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
(13780|13780) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
Creating writer
Reader found writer
Deleting writer
Reader lost writer
Creating writer
Reader found writer
test PASSED.

auto_run_tests_finished: tests/DCPS/StaticDiscoveryReconnect/run_test.pl Time:66s Result:0

==============================================================================

tests/transport/rtps/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/subscriber -h 127.0.0.1 -p 14475 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 13802 started at 2023-04-19 14:31:46
STARTING MAIN IN SUBSCRIBER
***Ready written to subready.txt
***Association Data created for Publication for SimpleDataReader to init
Associating with pub...
***Simple Data Reader init:: publication completed
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/publisher -h 127.0.0.1 -p 14475 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 13806 started at 2023-04-19 14:31:47
test PASSED.
Running with multicast...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/subscriber -h 127.0.0.1 -p 15879 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 13810 started at 2023-04-19 14:31:48
STARTING MAIN IN SUBSCRIBER
***Ready written to subready.txt
***Association Data created for Publication for SimpleDataReader to init
Associating with pub...
***Simple Data Reader init:: publication completed
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps/publisher -h 239.255.0.2 -p 7401 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 13814 started at 2023-04-19 14:31:48
test PASSED.

auto_run_tests_finished: tests/transport/rtps/run_test.pl Time:3s Result:0

==============================================================================

tests/transport/rtps_reliability/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_reliability/rtps_reliability  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile rtps_reliability.log -DCPSPendingTimeout 3 
rtps_reliability PID: 13819 started at 2023-04-19 14:31:49
>>> Starting test of DataReader
data_received with seq#: 1
Received submessage type: 14
recv_an() data retransmit 1
Received submessage type: 14
recv_an() no retransmission requested
Received submessage type: 14
recv_an() data retransmit 2
recv_an() gap retransmit 4
data_received with seq#: 2
data_received with seq#: 3
data_received with seq#: 5
Received submessage type: 14
recv_an() no retransmission requested
Received submessage type: 14
recv_an() no retransmission requested
recv_nackfrag() retransmit 6:2
data_received with seq#: 6
Received submessage type: 14
recv_an() no retransmission requested
Received submessage type: 14
recv_an() no retransmission requested
Received submessage type: 14
recv_an() no retransmission requested
recv_nackfrag() retransmit 7:2
Received submessage type: 14
recv_an() no retransmission requested
data_received with seq#: 7
data_received with seq#: 8
Received submessage type: 14
recv_an() no retransmission requested
>>> Starting test of DataWriter
Received submessage type: 14
recv_hb() first = 1 last = 0
Received submessage type: 14
recv_hb() first = 1 last = 0
Received submessage type: 14
recv_hb() first = 1 last = 0
Received submessage type: 14
recv_hb() first = 1 last = 0
sending with seq#: 1
sending with seq#: 2
sending with seq#: 3
Received submessage type: 9
recv_data() seq = 1
Received submessage type: 9
recv_data() seq = 2
Received submessage type: 9
recv_data() seq = 3
Received submessage type: 14
recv_hb() first = 1 last = 3
recv_hb() requesting retransmit of #2
SimpleDataWriter::data_delivered()
Received submessage type: 9
recv_data() seq = 2
Received submessage type: 14
recv_hb() first = 2 last = 3
Received submessage type: 14
recv_hb() first = 2 last = 3
sending with seq#: 5
recv_gap() gapStart = 4 gapListBase = 5
Received submessage type: 9
recv_data() seq = 5
Received submessage type: 14
recv_hb() first = 2 last = 3
Received submessage type: 14
recv_hb() first = 2 last = 5
SimpleDataWriter::data_delivered()
SimpleDataWriter::data_delivered()
SimpleDataWriter::data_delivered()
test PASSED.

auto_run_tests_finished: tests/transport/rtps_reliability/run_test.pl Time:14s Result:0

==============================================================================

tests/transport/spdp/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/spdp/spdp_transport  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile spdp_transport.log -DCPSPendingTimeout 3 
spdp_transport PID: 13825 started at 2023-04-19 14:32:03
(13825|13825) INFO: set_DCPS_debug_level: set to 1
(13825|13828) INFO: MulticastManager::process: joined group 239.255.0.1:7402 on eth0/10.201.200.79 (0x12deff8 joined count 1)
(13825|13828) INFO: MulticastManager::process: joined group ff03::2:7401 on eth0/fe80::20c:29ff:fe7a:35f2 (0x12deff8 joined count 2)
(13825|13828) INFO: MulticastManager::process: joined group 239.255.0.1:7402 on lo/127.0.0.1 (0x12deff8 joined count 3)
(13825|13828) INFO: MulticastManager::process: joined group ff03::2:7401 on lo/::1 (0x12deff8 joined count 4)
(13825|13828) INFO: MulticastManager::process: joined group 239.255.0.1:7402 on virbr0/192.168.122.1 (0x12deff8 joined count 5)
(13825|13828) INFO: MulticastManager::process: joined group 239.255.0.1:7532 on eth0/10.201.200.79 (0x12b4580 joined count 1)
(13825|13828) INFO: MulticastManager::process: joined group ff03::1:7532 on eth0/fe80::20c:29ff:fe7a:35f2 (0x12b4580 joined count 2)
(13825|13828) INFO: MulticastManager::process: joined group 239.255.0.1:7532 on lo/127.0.0.1 (0x12b4580 joined count 3)
(13825|13828) INFO: MulticastManager::process: joined group ff03::1:7532 on lo/::1 (0x12b4580 joined count 4)
(13825|13828) INFO: MulticastManager::process: joined group 239.255.0.1:7532 on virbr0/192.168.122.1 (0x12b4580 joined count 5)
(13825|13825) spdp_transport.cpp:run_test() addr_array[0]: 127.0.0.1:12345
(13825|13825) spdp_transport.cpp:run_test() addr_array[1]: 10.201.200.79:12345
(13825|13825) spdp_transport.cpp:run_test() addr_array[2]: 192.168.122.1:12345
(13825|13825) spdp_transport.cpp:run_test() addr_array[3]: ::1:12345
(13825|13825) spdp_transport.cpp:run_test() addr_array[4]: fe80::20c:29ff:fe7a:35f2%2:12345
Basic Reset Test
seq: 1
(13825|13828) Spdp::handle_participant_data - 0103000c.297a35f2.360123e4.000001c1(2a1ac496) discovered 0103000c.297a35f2.36018746.000001c1(a2705b98) lease 5:00 from 10.201.200.79:53048 (0)
(13825|13828) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.360123e4.000300c3(df492aa2) remote 0103000c.297a35f2.36018746.000300c4(c947200f)
(13825|13828) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.360123e4.000301c3(c6521be3) remote 0103000c.297a35f2.36018746.000301c4(d05c114e)
(13825|13828) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.360123e4.000003c2(8125f7ae) remote 0103000c.297a35f2.36018746.000003c7(79259c2f)
(13825|13828) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.360123e4.000004c2(ce646169) remote 0103000c.297a35f2.36018746.000004c7(36640ae8)
(13825|13828) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.360123e4.000200c2(a98c7003) remote 0103000c.297a35f2.36018746.000200c7(518c1b82)
seq: 2
seq: 3
seq: 4
seq: 5
seq: 1
seq: 2
seq: 3
seq: 4
(13825|13828) Spdp::handle_participant_data - 0103000c.297a35f2.360123e4.000001c1(2a1ac496) discovered 0103000c.297a35f2.36018746.000001c1(a2705b98) lease 5:00 from 10.201.200.79:53048 (0)
(13825|13828) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.360123e4.000300c3(df492aa2) remote 0103000c.297a35f2.36018746.000300c4(c947200f)
(13825|13828) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.360123e4.000301c3(c6521be3) remote 0103000c.297a35f2.36018746.000301c4(d05c114e)
(13825|13828) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.360123e4.000003c2(8125f7ae) remote 0103000c.297a35f2.36018746.000003c7(79259c2f)
(13825|13828) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.360123e4.000004c2(ce646169) remote 0103000c.297a35f2.36018746.000004c7(36640ae8)
(13825|13828) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.360123e4.000200c2(a98c7003) remote 0103000c.297a35f2.36018746.000200c7(518c1b82)
seq: 5
seq: 6
seq: 7
Reset Within Limits Test
seq: 8
seq: 6
seq: 7
seq: 8
seq: 9
Duplicate Sequence Numbers Test
seq: 1
(13825|13828) Spdp::handle_participant_data - 0103000c.297a35f2.360123e4.000001c1(2a1ac496) discovered 0103000c.297a35f2.36018746.000001c1(a2705b98) lease 5:00 from 10.201.200.79:53048 (0)
(13825|13828) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.360123e4.000300c3(df492aa2) remote 0103000c.297a35f2.36018746.000300c4(c947200f)
(13825|13828) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.360123e4.000301c3(c6521be3) remote 0103000c.297a35f2.36018746.000301c4(d05c114e)
(13825|13828) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.360123e4.000003c2(8125f7ae) remote 0103000c.297a35f2.36018746.000003c7(79259c2f)
(13825|13828) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.360123e4.000004c2(ce646169) remote 0103000c.297a35f2.36018746.000004c7(36640ae8)
(13825|13828) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.360123e4.000200c2(a98c7003) remote 0103000c.297a35f2.36018746.000200c7(518c1b82)
  seq: 2
  seq: 3
  seq: 4
seq: 2
  seq: 3
  seq: 4
  seq: 5
seq: 3
  seq: 4
  seq: 5
  seq: 6
seq: 4
  seq: 5
  seq: 6
  seq: 7
seq: 5
  seq: 6
  seq: 7
  seq: 8
Overflow Test
  seq: 2147483647 4294967290
(13825|13828) Spdp::handle_participant_data - 0103000c.297a35f2.360123e4.000001c1(2a1ac496) discovered 0103000c.297a35f2.36018746.000001c1(a2705b98) lease 5:00 from 10.201.200.79:53048 (0)
(13825|13828) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.360123e4.000300c3(df492aa2) remote 0103000c.297a35f2.36018746.000300c4(c947200f)
(13825|13828) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.360123e4.000301c3(c6521be3) remote 0103000c.297a35f2.36018746.000301c4(d05c114e)
(13825|13828) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.360123e4.000003c2(8125f7ae) remote 0103000c.297a35f2.36018746.000003c7(79259c2f)
(13825|13828) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.360123e4.000004c2(ce646169) remote 0103000c.297a35f2.36018746.000004c7(36640ae8)
(13825|13828) DEBUG: Sedp::association_complete_i local 0103000c.297a35f2.360123e4.000200c2(a98c7003) remote 0103000c.297a35f2.36018746.000200c7(518c1b82)
  seq: 2147483647 4294967291
  seq: 2147483647 4294967292
  seq: 2147483647 4294967293
  seq: 2147483647 4294967294
  seq: 2147483647 4294967295
  seq: 0 0
  seq: 0 1
  seq: 0 2
  seq: 0 3
(13825|13825) WARNING: DataLink[0x12df058]::~DataLink() - link still in use by 5 entities when deleted!
(13825|13825) Service_Participant::shutdown
(13825|13825) DomainParticipantFactoryImpl::~DomainParticipantFactoryImpl()
(13825|13825) Service_Participant::~Service_Participant
(13825|13825) Service_Participant::shutdown
test PASSED.

auto_run_tests_finished: tests/transport/spdp/run_test.pl Time:24s Result:0

==============================================================================

tests/transport/rtps_directed_write/run_test.pl #

Testing with best-effort readers...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/subscriber -h 127.0.0.1 -p 21137 -r 0 -ORBLogFile sub_BestEffort.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 13831 started at 2023-04-19 14:32:27
Reader 01030000.01234567.76543210.11111107(3bef1e14) called associate()
Reader 01030000.01234567.76543210.22222207(0b3777a2) called associate()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/publisher -h 127.0.0.1 -p 21137 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 13835 started at 2023-04-19 14:32:27
test PASSED.

Testing with best-effort readers, multicast...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/subscriber -h 127.0.0.1 -p 21844 -r 0 -ORBLogFile sub_BestEffort_multicast.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 13837 started at 2023-04-19 14:32:28
Reader 01030000.01234567.76543210.11111107(3bef1e14) called associate()
Reader 01030000.01234567.76543210.22222207(0b3777a2) called associate()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/publisher -h 239.255.0.2 -p 7401 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 13841 started at 2023-04-19 14:32:28
test PASSED.

Testing with reliable readers...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/subscriber -h 127.0.0.1 -p 14547 -r 1 -ORBLogFile sub_Reliable.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 13844 started at 2023-04-19 14:32:29
Reader 01030000.01234567.76543210.11111107(3bef1e14) called associate()
Reader 01030000.01234567.76543210.22222207(0b3777a2) called associate()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/publisher -h 127.0.0.1 -p 14547 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 13848 started at 2023-04-19 14:32:29
test PASSED.

Testing with reliable readers, multicast...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/subscriber -h 127.0.0.1 -p 15555 -r 1 -ORBLogFile sub_Reliable_multicast.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 13850 started at 2023-04-19 14:32:31
Reader 01030000.01234567.76543210.11111107(3bef1e14) called associate()
Reader 01030000.01234567.76543210.22222207(0b3777a2) called associate()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/rtps_directed_write/publisher -h 239.255.0.2 -p 7401 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 13854 started at 2023-04-19 14:32:31
test PASSED.

auto_run_tests_finished: tests/transport/rtps_directed_write/run_test.pl Time:5s Result:0

==============================================================================

tests/transport/best_effort_reader/run_test.pl #

Testing best-effort readers...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/subscriber -h 127.0.0.1 -p 10948 -ORBLogFile sub.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 13857 started at 2023-04-19 14:32:32
01030000.01234567.11111111.11111102(3bc047cf) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
Reader1 associated with 3 writer(s)

01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.22222207(8dd486f2) associated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.22222207(8dd486f2) associated
Reader2 associated with 2 writer(s)

01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.33333307(2b4c5c5f) associated
Reader3 associated with 1 writer(s)

*** Ready written to subready.txt ***
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/publisher -h 127.0.0.1 -p 10948 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 13861 started at 2023-04-19 14:32:32
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.33333307(2b4c5c5f) disassociated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.22222207(8dd486f2) disassociated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.22222207(8dd486f2) disassociated
01030000.01234567.11111111.11111102(3bc047cf) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
test PASSED.

Testing best-effort readers, multicast...
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/subscriber -h 127.0.0.1 -p 20913 -ORBLogFile sub_multicast.log -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -DCPSPendingTimeout 3 
subscriber PID: 13863 started at 2023-04-19 14:32:33
01030000.01234567.11111111.11111102(3bc047cf) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.11111107(bd0cef44) associated
Reader1 associated with 3 writer(s)

01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.22222207(8dd486f2) associated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.22222207(8dd486f2) associated
Reader2 associated with 2 writer(s)

01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.33333307(2b4c5c5f) associated
Reader3 associated with 1 writer(s)

*** Ready written to subready.txt ***
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/transport/best_effort_reader/publisher -h 239.255.0.2 -p 7401 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 13867 started at 2023-04-19 14:32:33
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.33333307(2b4c5c5f) disassociated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.22222207(8dd486f2) disassociated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.22222207(8dd486f2) disassociated
01030000.01234567.11111111.11111102(3bc047cf) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
01030000.01234567.11111111.22222202(0b182e79) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
01030000.01234567.11111111.33333302(ad80f4d4) <- 01030000.01234567.22222222.11111107(bd0cef44) disassociated
test PASSED.

auto_run_tests_finished: tests/transport/best_effort_reader/run_test.pl Time:2s Result:0

==============================================================================

tests/DCPS/ManyTopicTest/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 13870
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/publisher -t all -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
publisher PID: 13877 started at 2023-04-19 14:32:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/subscriber -t all -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber1.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
subscriber1 PID: 13878 started at 2023-04-19 14:32:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/subscriber -t all -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber2.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
subscriber2 PID: 13879 started at 2023-04-19 14:32:34
(13877|13877)  14:32:34.587158 publisher main
(13878|13878)  14:32:34.604816 subscriber main
(13879|13879)  14:32:34.606221 subscriber main
(13870|13870) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 811148000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 811148000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 829873000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 829873000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 857295000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 857295000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 883916000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 883916000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 890027000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 890027000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 895631000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 895631000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 903551000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 903551000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 917853000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 917853000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 928377000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 928377000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 957566000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 957566000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 965227000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 965227000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 968233000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 968233000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 970712000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 970712000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 985250000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 985250000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 992114000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932756 992114000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 2411000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 2411000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 16334000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 16334000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 21183000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 21183000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 40082000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 40082000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 50894000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 50894000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 53224000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 53224000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 79799000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 79799000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 87565000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 87565000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 89946000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 89946000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 122016000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 122016000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 131720000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 131720000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 131971000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 131971000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 144833000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 144833000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 174513000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 174513000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 195870000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 195870000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 221157000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 221157000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 221736000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 221736000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 244928000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 244928000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 253556000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 253556000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 255808000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 255808000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 274090000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 274090000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 288316000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 288316000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 295150000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 295150000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 304644000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 304644000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 324658000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932757 324658000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

foo2[0]: text = message 1, key = 2
foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 3
foo2[0]: text = message 2, key = 2
foo2[0]: text = message 3, key = 2
foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 3
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 4
foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 3
foo2[0]: text = message 4, key = 2
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 3
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 4
foo2[0]: text = message 5, key = 2
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 3
foo2[0]: text = message 6, key = 2
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 4
foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 4
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 4
foo2[0]: text = message 7, key = 2
foo2[0]: text = message 8, key = 2
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 3
foo2[0]: text = message 9, key = 2
foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 4
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 4
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 3
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 4
foo2[0]: text = message 10, key = 2
foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 4
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 3
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 3
foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 3
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 4

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T3 received 10 samples.

*** T4 received 10 samples.
  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: NOT_ALIVE_DISPOSED_INSTANCE_STATE
  source_timestamp: 1681932758 76095000
  instance_handle: 22
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: NOT_ALIVE_DISPOSED_INSTANCE_STATE
  source_timestamp: 1681932758 76796000
  instance_handle: 23
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: NOT_ALIVE_DISPOSED_INSTANCE_STATE
  source_timestamp: 1681932758 77174000
  instance_handle: 24
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: NOT_ALIVE_DISPOSED_INSTANCE_STATE
  source_timestamp: 1681932758 77559000
  instance_handle: 25
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

foo2[0]: text = message 1, key = 2
foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 3
foo2[0]: text = message 2, key = 2
foo2[0]: text = message 3, key = 2
foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 3
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 4
foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 3
foo2[0]: text = message 4, key = 2
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 3
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 4
foo2[0]: text = message 5, key = 2
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 3
foo2[0]: text = message 6, key = 2
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 4
foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 4
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 4
foo2[0]: text = message 7, key = 2
foo2[0]: text = message 8, key = 2
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 3
foo2[0]: text = message 9, key = 2
foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 4
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 4
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 3
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 4
foo2[0]: text = message 10, key = 2
foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 4
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 3
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 3
foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 3
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 4

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T3 received 10 samples.

*** T4 received 10 samples.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManyTopicTest/run_test.pl Time:4s Result:0

==============================================================================

tests/DCPS/ManyTopicTest/run_test.pl rtps #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/publisher -t all -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
publisher PID: 13908 started at 2023-04-19 14:32:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/subscriber -t all -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber1.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
subscriber1 PID: 13909 started at 2023-04-19 14:32:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/subscriber -t all -DCPSConfigFile rtps_disc.ini -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber2.log -DCPSPendingTimeout 3  -o /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicTest/
subscriber2 PID: 13910 started at 2023-04-19 14:32:38
(13908|13908)  14:32:38.349792 publisher main
(13909|13909)  14:32:38.360169 subscriber main
(13910|13910)  14:32:38.362498 subscriber main
  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 633106999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 633106999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 689271999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 689271999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 694477999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 694477999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 695850999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 695850999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 708017999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 708017999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 723634999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 723634999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 734882999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 734882999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 745589999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 745589999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 769390999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 769390999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 778592999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 778592999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 820457999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 820457999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 839119999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 839119999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 852213999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 852213999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 864089999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 864089999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 910163999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 910163999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 920242999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 920242999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 926272999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 926272999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 928410999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 928410999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 931144999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 931144999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 932162999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 932162999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 936352999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 936352999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 962987999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 962987999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 963571999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 963571999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 967256999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 967256999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 996569999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932760 996569999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 1701999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 1701999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 49444999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 49444999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 66362999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 66362999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 69740999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 69740999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 72170999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 72170999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 95817999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 95817999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 126365999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 126365999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 128618999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 128618999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 134440999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 134440999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 154846999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 154846999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 187937999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 187937999
  instance_handle: 11
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 190193999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 190193999
  instance_handle: 12
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 217860999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 217860999
  instance_handle: f
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 235859999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 235859999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 237860999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

  sample_state: NOT_READ_SAMPLE_STATE
  view_state: NOT_NEW_VIEW_STATE
  instance_state: ALIVE_INSTANCE_STATE
  source_timestamp: 1681932761 237860999
  instance_handle: 10
  disposed_generation_count: 0
  no_writers_generation_count: 0
  sample_rank: 0
  generation_rank: 0
  absolute_generation_rank: 0

foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 3
foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 4
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 4
foo2[0]: text = message 1, key = 2
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 3
foo2[0]: text = message 2, key = 2
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 3
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 4
foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
foo2[0]: text = message 3, key = 2
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 3
foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 4
foo2[0]: text = message 4, key = 2
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 3
foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 3
foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 4
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 4
foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
foo2[0]: text = message 5, key = 2
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 3
foo2[0]: text = message 6, key = 2
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 4
foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 3
foo2[0]: text = message 7, key = 2
foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 4
foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 3
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 4
foo2[0]: text = message 8, key = 2
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 3
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 4
foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1
foo2[0]: text = message 9, key = 2
foo2[0]: text = message 10, key = 2

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T3 received 10 samples.

*** T4 received 10 samples.
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 3
foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
foo3[0]: c = A,  s = 1, l = 0, text = message 1, key = 4
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 4
foo2[0]: text = message 1, key = 2
foo3[0]: c = B,  s = 2, l = 100, text = message 2, key = 3
foo2[0]: text = message 2, key = 2
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 3
foo3[0]: c = C,  s = 3, l = 200, text = message 3, key = 4
foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
foo2[0]: text = message 3, key = 2
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 3
foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
foo3[0]: c = D,  s = 4, l = 300, text = message 4, key = 4
foo2[0]: text = message 4, key = 2
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 3
foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 3
foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
foo3[0]: c = E,  s = 5, l = 400, text = message 5, key = 4
foo3[0]: c = F,  s = 6, l = 500, text = message 6, key = 4
foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
foo2[0]: text = message 5, key = 2
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 3
foo2[0]: text = message 6, key = 2
foo3[0]: c = G,  s = 7, l = 600, text = message 7, key = 4
foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 3
foo2[0]: text = message 7, key = 2
foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
foo3[0]: c = H,  s = 8, l = 700, text = message 8, key = 4
foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 3
foo3[0]: c = I,  s = 9, l = 800, text = message 9, key = 4
foo2[0]: text = message 8, key = 2
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 3
foo3[0]: c = J,  s = 10, l = 900, text = message 10, key = 4
foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1
foo2[0]: text = message 9, key = 2
foo2[0]: text = message 10, key = 2

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T3 received 10 samples.

*** T4 received 10 samples.
test PASSED.

auto_run_tests_finished: tests/DCPS/ManyTopicTest/run_test.pl rtps Time:4s Result:0

==============================================================================

tests/DCPS/ManyTopicMultiProcess/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 13939
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/publisher -p1 -p2 -s6 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile proc1.log -DCPSPendingTimeout 3  -T /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/
proc1 PID: 13946 started at 2023-04-19 14:32:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/publisher -p3 -p4 -p5 -s7 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile proc2.log -DCPSPendingTimeout 3  -T /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/
proc2 PID: 13947 started at 2023-04-19 14:32:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/subscriber -s1 -s2 -s3 -s4 -s5 -p6 -p7 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile proc3.log -DCPSPendingTimeout 3  -T /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ManyTopicMultiProcess/
proc3 PID: 13948 started at 2023-04-19 14:32:42
(13948|13948)  14:32:42.261916 subscriber main
(13947|13947)  14:32:42.263183 publisher main
(13946|13946)  14:32:42.264974 publisher main
(13939|13939) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
T3 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
T4 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 2
T5 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 3
T3 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
T1 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 0.000000
	foo4[0][1]: value = -1.000000
	foo4[0][2]: value = -2.000000
	foo4[0][3]: value = -3.000000
	foo4[0][4]: value = -4.000000
	foo4[0][5]: value = -5.000000
	foo4[0][6]: value = -6.000000
	foo4[0][7]: value = -7.000000
	foo4[0][8]: value = -8.000000
	foo4[0][9]: value = -9.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 0.000000
	foo4[0][1]: value = -1.000000
	foo4[0][2]: value = -2.000000
	foo4[0][3]: value = -3.000000
	foo4[0][4]: value = -4.000000
	foo4[0][5]: value = -5.000000
	foo4[0][6]: value = -6.000000
	foo4[0][7]: value = -7.000000
	foo4[0][8]: value = -8.000000
	foo4[0][9]: value = -9.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 0.000000
	foo4[0][1]: value = -1.000000
	foo4[0][2]: value = -2.000000
	foo4[0][3]: value = -3.000000
	foo4[0][4]: value = -4.000000
	foo4[0][5]: value = -5.000000
	foo4[0][6]: value = -6.000000
	foo4[0][7]: value = -7.000000
	foo4[0][8]: value = -8.000000
	foo4[0][9]: value = -9.000000
T4 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 2
T5 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 3
T3 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
T1 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 1.000000
	foo4[0][1]: value = 0.000000
	foo4[0][2]: value = -1.000000
	foo4[0][3]: value = -2.000000
	foo4[0][4]: value = -3.000000
	foo4[0][5]: value = -4.000000
	foo4[0][6]: value = -5.000000
	foo4[0][7]: value = -6.000000
	foo4[0][8]: value = -7.000000
	foo4[0][9]: value = -8.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 1.000000
	foo4[0][1]: value = 0.000000
	foo4[0][2]: value = -1.000000
	foo4[0][3]: value = -2.000000
	foo4[0][4]: value = -3.000000
	foo4[0][5]: value = -4.000000
	foo4[0][6]: value = -5.000000
	foo4[0][7]: value = -6.000000
	foo4[0][8]: value = -7.000000
	foo4[0][9]: value = -8.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 1.000000
	foo4[0][1]: value = 0.000000
	foo4[0][2]: value = -1.000000
	foo4[0][3]: value = -2.000000
	foo4[0][4]: value = -3.000000
	foo4[0][5]: value = -4.000000
	foo4[0][6]: value = -5.000000
	foo4[0][7]: value = -6.000000
	foo4[0][8]: value = -7.000000
	foo4[0][9]: value = -8.000000
T4 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 2
T5 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 3
T3 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
T1 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 4.000000
	foo4[0][1]: value = 3.000000
	foo4[0][2]: value = 2.000000
	foo4[0][3]: value = 1.000000
	foo4[0][4]: value = 0.000000
	foo4[0][5]: value = -1.000000
	foo4[0][6]: value = -2.000000
	foo4[0][7]: value = -3.000000
	foo4[0][8]: value = -4.000000
	foo4[0][9]: value = -5.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 4.000000
	foo4[0][1]: value = 3.000000
	foo4[0][2]: value = 2.000000
	foo4[0][3]: value = 1.000000
	foo4[0][4]: value = 0.000000
	foo4[0][5]: value = -1.000000
	foo4[0][6]: value = -2.000000
	foo4[0][7]: value = -3.000000
	foo4[0][8]: value = -4.000000
	foo4[0][9]: value = -5.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 4.000000
	foo4[0][1]: value = 3.000000
	foo4[0][2]: value = 2.000000
	foo4[0][3]: value = 1.000000
	foo4[0][4]: value = 0.000000
	foo4[0][5]: value = -1.000000
	foo4[0][6]: value = -2.000000
	foo4[0][7]: value = -3.000000
	foo4[0][8]: value = -4.000000
	foo4[0][9]: value = -5.000000
T4 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 2
T5 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 3
T3 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
T1 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 9.000000
	foo4[0][1]: value = 8.000000
	foo4[0][2]: value = 7.000000
	foo4[0][3]: value = 6.000000
	foo4[0][4]: value = 5.000000
	foo4[0][5]: value = 4.000000
	foo4[0][6]: value = 3.000000
	foo4[0][7]: value = 2.000000
	foo4[0][8]: value = 1.000000
	foo4[0][9]: value = 0.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 9.000000
	foo4[0][1]: value = 8.000000
	foo4[0][2]: value = 7.000000
	foo4[0][3]: value = 6.000000
	foo4[0][4]: value = 5.000000
	foo4[0][5]: value = 4.000000
	foo4[0][6]: value = 3.000000
	foo4[0][7]: value = 2.000000
	foo4[0][8]: value = 1.000000
	foo4[0][9]: value = 0.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 9.000000
	foo4[0][1]: value = 8.000000
	foo4[0][2]: value = 7.000000
	foo4[0][3]: value = 6.000000
	foo4[0][4]: value = 5.000000
	foo4[0][5]: value = 4.000000
	foo4[0][6]: value = 3.000000
	foo4[0][7]: value = 2.000000
	foo4[0][8]: value = 1.000000
	foo4[0][9]: value = 0.000000
T4 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 2
T5 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 3
T3 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
T1 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 16.000000
	foo4[0][1]: value = 15.000000
	foo4[0][2]: value = 14.000000
	foo4[0][3]: value = 13.000000
	foo4[0][4]: value = 12.000000
	foo4[0][5]: value = 11.000000
	foo4[0][6]: value = 10.000000
	foo4[0][7]: value = 9.000000
	foo4[0][8]: value = 8.000000
	foo4[0][9]: value = 7.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 16.000000
	foo4[0][1]: value = 15.000000
	foo4[0][2]: value = 14.000000
	foo4[0][3]: value = 13.000000
	foo4[0][4]: value = 12.000000
	foo4[0][5]: value = 11.000000
	foo4[0][6]: value = 10.000000
	foo4[0][7]: value = 9.000000
	foo4[0][8]: value = 8.000000
	foo4[0][9]: value = 7.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 16.000000
	foo4[0][1]: value = 15.000000
	foo4[0][2]: value = 14.000000
	foo4[0][3]: value = 13.000000
	foo4[0][4]: value = 12.000000
	foo4[0][5]: value = 11.000000
	foo4[0][6]: value = 10.000000
	foo4[0][7]: value = 9.000000
	foo4[0][8]: value = 8.000000
	foo4[0][9]: value = 7.000000
T4 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 2
T5 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 3
T3 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
T1 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 25.000000
	foo4[0][1]: value = 24.000000
	foo4[0][2]: value = 23.000000
	foo4[0][3]: value = 22.000000
	foo4[0][4]: value = 21.000000
	foo4[0][5]: value = 20.000000
	foo4[0][6]: value = 19.000000
	foo4[0][7]: value = 18.000000
	foo4[0][8]: value = 17.000000
	foo4[0][9]: value = 16.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 25.000000
	foo4[0][1]: value = 24.000000
	foo4[0][2]: value = 23.000000
	foo4[0][3]: value = 22.000000
	foo4[0][4]: value = 21.000000
	foo4[0][5]: value = 20.000000
	foo4[0][6]: value = 19.000000
	foo4[0][7]: value = 18.000000
	foo4[0][8]: value = 17.000000
	foo4[0][9]: value = 16.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 25.000000
	foo4[0][1]: value = 24.000000
	foo4[0][2]: value = 23.000000
	foo4[0][3]: value = 22.000000
	foo4[0][4]: value = 21.000000
	foo4[0][5]: value = 20.000000
	foo4[0][6]: value = 19.000000
	foo4[0][7]: value = 18.000000
	foo4[0][8]: value = 17.000000
	foo4[0][9]: value = 16.000000
T4 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 2
T5 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 3
T3 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
T1 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 36.000000
	foo4[0][1]: value = 35.000000
	foo4[0][2]: value = 34.000000
	foo4[0][3]: value = 33.000000
	foo4[0][4]: value = 32.000000
	foo4[0][5]: value = 31.000000
	foo4[0][6]: value = 30.000000
	foo4[0][7]: value = 29.000000
	foo4[0][8]: value = 28.000000
	foo4[0][9]: value = 27.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 36.000000
	foo4[0][1]: value = 35.000000
	foo4[0][2]: value = 34.000000
	foo4[0][3]: value = 33.000000
	foo4[0][4]: value = 32.000000
	foo4[0][5]: value = 31.000000
	foo4[0][6]: value = 30.000000
	foo4[0][7]: value = 29.000000
	foo4[0][8]: value = 28.000000
	foo4[0][9]: value = 27.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 36.000000
	foo4[0][1]: value = 35.000000
	foo4[0][2]: value = 34.000000
	foo4[0][3]: value = 33.000000
	foo4[0][4]: value = 32.000000
	foo4[0][5]: value = 31.000000
	foo4[0][6]: value = 30.000000
	foo4[0][7]: value = 29.000000
	foo4[0][8]: value = 28.000000
	foo4[0][9]: value = 27.000000
T4 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 2
T5 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 3
T3 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
T1 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 49.000000
	foo4[0][1]: value = 48.000000
	foo4[0][2]: value = 47.000000
	foo4[0][3]: value = 46.000000
	foo4[0][4]: value = 45.000000
	foo4[0][5]: value = 44.000000
	foo4[0][6]: value = 43.000000
	foo4[0][7]: value = 42.000000
	foo4[0][8]: value = 41.000000
	foo4[0][9]: value = 40.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 49.000000
	foo4[0][1]: value = 48.000000
	foo4[0][2]: value = 47.000000
	foo4[0][3]: value = 46.000000
	foo4[0][4]: value = 45.000000
	foo4[0][5]: value = 44.000000
	foo4[0][6]: value = 43.000000
	foo4[0][7]: value = 42.000000
	foo4[0][8]: value = 41.000000
	foo4[0][9]: value = 40.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 49.000000
	foo4[0][1]: value = 48.000000
	foo4[0][2]: value = 47.000000
	foo4[0][3]: value = 46.000000
	foo4[0][4]: value = 45.000000
	foo4[0][5]: value = 44.000000
	foo4[0][6]: value = 43.000000
	foo4[0][7]: value = 42.000000
	foo4[0][8]: value = 41.000000
	foo4[0][9]: value = 40.000000
T4 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 2
T5 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 3
T3 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1
T1 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 64.000000
	foo4[0][1]: value = 63.000000
	foo4[0][2]: value = 62.000000
	foo4[0][3]: value = 61.000000
	foo4[0][4]: value = 60.000000
	foo4[0][5]: value = 59.000000
	foo4[0][6]: value = 58.000000
	foo4[0][7]: value = 57.000000
	foo4[0][8]: value = 56.000000
	foo4[0][9]: value = 55.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 64.000000
	foo4[0][1]: value = 63.000000
	foo4[0][2]: value = 62.000000
	foo4[0][3]: value = 61.000000
	foo4[0][4]: value = 60.000000
	foo4[0][5]: value = 59.000000
	foo4[0][6]: value = 58.000000
	foo4[0][7]: value = 57.000000
	foo4[0][8]: value = 56.000000
	foo4[0][9]: value = 55.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 64.000000
	foo4[0][1]: value = 63.000000
	foo4[0][2]: value = 62.000000
	foo4[0][3]: value = 61.000000
	foo4[0][4]: value = 60.000000
	foo4[0][5]: value = 59.000000
	foo4[0][6]: value = 58.000000
	foo4[0][7]: value = 57.000000
	foo4[0][8]: value = 56.000000
	foo4[0][9]: value = 55.000000
T4 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 2
T5 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 3
T1 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1
T2 foo4[0]: length = 10
	foo4[0][0]: value = 81.000000
	foo4[0][1]: value = 80.000000
	foo4[0][2]: value = 79.000000
	foo4[0][3]: value = 78.000000
	foo4[0][4]: value = 77.000000
	foo4[0][5]: value = 76.000000
	foo4[0][6]: value = 75.000000
	foo4[0][7]: value = 74.000000
	foo4[0][8]: value = 73.000000
	foo4[0][9]: value = 72.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 81.000000
	foo4[0][1]: value = 80.000000
	foo4[0][2]: value = 79.000000
	foo4[0][3]: value = 78.000000
	foo4[0][4]: value = 77.000000
	foo4[0][5]: value = 76.000000
	foo4[0][6]: value = 75.000000
	foo4[0][7]: value = 74.000000
	foo4[0][8]: value = 73.000000
	foo4[0][9]: value = 72.000000
T2 foo4[0]: length = 10
	foo4[0][0]: value = 81.000000
	foo4[0][1]: value = 80.000000
	foo4[0][2]: value = 79.000000
	foo4[0][3]: value = 78.000000
	foo4[0][4]: value = 77.000000
	foo4[0][5]: value = 76.000000
	foo4[0][6]: value = 75.000000
	foo4[0][7]: value = 74.000000
	foo4[0][8]: value = 73.000000
	foo4[0][9]: value = 72.000000

*** T1 received 10 samples.

*** T2 received 10 samples.

*** T2 received 10 samples.

*** T2 received 10 samples.

*** T3 receiveT6 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 2
T6 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 2
T6 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 2
T6 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 2
T6 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 2
T6 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 2
T6 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 2
T6 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 2
T6 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 2
T6 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 2

*** T6 received 10 samples.
T7 foo1[0]: c = A, x = 0.000000 y = -1.000000, key = 1
T7 foo1[0]: c = B, x = 1.000000 y = -1.000000, key = 1
T7 foo1[0]: c = C, x = 2.000000 y = -1.000000, key = 1
T7 foo1[0]: c = D, x = 3.000000 y = -1.000000, key = 1
T7 foo1[0]: c = E, x = 4.000000 y = -1.000000, key = 1
T7 foo1[0]: c = F, x = 5.000000 y = -1.000000, key = 1
T7 foo1[0]: c = G, x = 6.000000 y = -1.000000, key = 1
T7 foo1[0]: c = H, x = 7.000000 y = -1.000000, key = 1
T7 foo1[0]: c = I, x = 8.000000 y = -1.000000, key = 1
T7 foo1[0]: c = J, x = 9.000000 y = -1.000000, key = 1

*** T7 received 10 samples.
d 10 samples.

*** T4 received 10 samples.

*** T5 received 10 samples.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/ManyTopicMultiProcess/run_test.pl Time:16s Result:0

==============================================================================

tests/DCPS/Monitor/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -DCPSDebugLevel 6 -ORBDebugLevel 10 -ORBLogFile DCPSInfoRepo.log -o repo.ior 
TAO (13978|13978) - Completed initializing the process-wide service context
TAO (13978|13978) - Default ORB services initialization begins
TAO (13978|13978) - ORBInitializer_Registry::register_orb_initializer 0 @0xbe5940
TAO (13978|13978) - ORBInitializer_Registry::register_orb_initializer 1 @0xbe2d60
TAO (13978|13978) - Default ORB services initialization completed
TAO (13978|13978) - We are the default ORB ...
TAO (13978|13978) - Initializing the orb-specific services
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/monitor  -DCPSTransportDebugLevel 6 -ORBDebugLevel 10 -ORBLogFile mon.log -DCPSConfigFile mon.ini -DCPSDebugLevel 10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/publisher  -i 0 -ORBDebugLevel 10 -ORBLogFile pub.log -DCPSConfigFile pub.ini -DCPSDebugLevel 10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/Monitor/subscriber  -DCPSTransportDebugLevel 6 -ORBDebugLevel 10 -ORBLogFile sub.log -DCPSConfigFile sub.ini -DCPSDebugLevel 10
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 0
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 1
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 2
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 3
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 4
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 5
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 6
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 7
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 8
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = ALIVE_INSTANCE_STATE
Message: subject    = Review
         subject_id = 99
         from       = Comic Book Guy
         count      = 9
         text       = Worst. Movie. Ever.
SampleInfo.sample_rank = 0
SampleInfo.instance_state = NOT_ALIVE_DISPOSED_INSTANCE_STATE
INFO: monitor being killed.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
mon_count=59
test PASSED.

auto_run_tests_finished: tests/DCPS/Monitor/run_test.pl Time:4s Result:0

==============================================================================

tests/DCPS/PersistentInfoRepo/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -ORBSvcConf mySvc.conf -orbendpoint iiop://:11094 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/publisher -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub1.log -DCPSBit 0   -DCPSConfigFile tcp.ini -stage 1 -messages 60
(14015)Pub Stage Creating App
(14015)Pub Creating topic
Options::parse
(14015)Pub Stage 1 Creating writer
(14015)Pub Stage 1 waiting for 1 readers
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/subscriber -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub1.log -DCPSBit 0   -DCPSConfigFile tcp.ini -reliable 1 -stage 1
(14020)Sub Creating App
(14020)Sub Creating topic
Options::parse
(14020)Sub Creating Stage 1 reader
(14020)Sub Stage 1 waiting for 2 writer to come and go
(14015)Pub Stage 1 done waiting for reader
(14015)Pub Stage 1 sending id=1
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
(14015)Pub Stage 1 waiting for acks from sub
(14015)Pub Stage 1 done waiting for acks from sub
(14015)Pub Stage 1 waiting for 1 readers
(14015)Pub Stage 1 done waiting for reader
(14015)Pub Stage 1 sending id=2
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -ORBSvcConf mySvc.conf -orbendpoint iiop://:11094 
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/publisher -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile pub2.log -DCPSBit 0   -DCPSConfigFile tcp.ini -stage 2 -messages 60
(14043)Pub Stage Creating App
(14043)Pub Creating topic
Options::parse
(14043)Pub Stage 2 Creating writer
(14043)Pub Stage 2 waiting for 2 readers
(14043)Pub Stage 2 done waiting for reader
(14043)Pub Stage 2 sending id=3
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/PersistentInfoRepo/subscriber -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile sub2.log -DCPSBit 0   -DCPSConfigFile tcp.ini -reliable 1 -stage 2
(14048)Sub Creating App
(14048)Sub Creating topic
Options::parse
(14048)Sub Creating Stage 2 reader
(14048)Sub Stage 2 waiting for 2 writer to come and go
(14015)Pub Stage 1 waiting for acks from sub
(14015)Pub Stage 1 done waiting for acks from sub
(14015)Pub Stage 1 DDSTopic going out of scope
(14015)Pub Stage 1 DDSApp going out of scope (shutdown)
(14015)Pub Stage 1 returning status=0
(14043)Pub Stage 2 waiting for acks from sub
(14043)Pub Stage 2 done waiting for acks from sub
(14043)Pub Stage 2 DDSTopic going out of scope
(14020)Sub Stage 1 done waiting
(14020)Sub Stage 1 DDSApp going out of scope
(14048)Sub Stage 2 done waiting
(14048)Sub Stage 2 DDSApp going out of scope
(14043)Pub Stage 2 DDSApp going out of scope (shutdown)
(14043)Pub Stage 2 returning status=0
(14048)Sub Stage 2 returning status=0
(14020)Sub Stage 1 returning status=0
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/PersistentInfoRepo/run_test.pl Time:121s Result:0

==============================================================================

tests/FACE/Messenger/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/subscriber face_config.ini  -DCPSPendingTimeout 3 
Subscriber PID: 14064 started at 2023-04-19 14:35:03
Subscriber: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/publisher face_config.ini -DCPSPendingTimeout 3 
Publisher PID: 14071 started at 2023-04-19 14:35:08
Publisher: about to Send_Message()
Hello, world.	0
test PASSED.

auto_run_tests_finished: tests/FACE/Messenger/run_test.pl Time:26s Result:0

==============================================================================

tests/FACE/Messenger/run_test.pl callback #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/subscriber face_config.ini callback -DCPSPendingTimeout 3 
Subscriber PID: 14080 started at 2023-04-19 14:35:29
Subscriber: about to Register_Callback()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/publisher face_config.ini -DCPSPendingTimeout 3 
Publisher PID: 14086 started at 2023-04-19 14:35:34
Publisher: about to Send_Message()
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 2 time): Hello, world.	0	message_type_id: 1	message_size: 32
test PASSED.

auto_run_tests_finished: tests/FACE/Messenger/run_test.pl callback Time:25s Result:0

==============================================================================

tests/FACE/Messenger/run_test.pl static #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/subscriber face_config_static.ini  -DCPSPendingTimeout 3 
Subscriber PID: 14101 started at 2023-04-19 14:35:54
Subscriber: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/publisher face_config_static.ini -DCPSPendingTimeout 3 
Publisher PID: 14105 started at 2023-04-19 14:35:59
Publisher: about to Send_Message()
Hello, world.	0
test PASSED.

auto_run_tests_finished: tests/FACE/Messenger/run_test.pl static Time:25s Result:0

==============================================================================

tests/FACE/Messenger/run_test.pl static callback #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Subscriber/subscriber face_config_static.ini callback -DCPSPendingTimeout 3 
Subscriber PID: 14111 started at 2023-04-19 14:36:19
Subscriber: about to Register_Callback()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Messenger/Publisher/publisher face_config_static.ini -DCPSPendingTimeout 3 
Publisher PID: 14116 started at 2023-04-19 14:36:24
Publisher: about to Send_Message()
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 2 time): Hello, world.	0	message_type_id: 1	message_size: 32
test PASSED.

auto_run_tests_finished: tests/FACE/Messenger/run_test.pl static callback Time:25s Result:0

==============================================================================

tests/FACE/MultiDomainMessenger/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Subscriber/subscriber  -DCPSPendingTimeout 3 
Subscriber PID: 14131 started at 2023-04-19 14:36:44
Subscriber1: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 14141 started at 2023-04-19 14:36:49
Publisher1: about to Send_Message()
Publisher2: about to Send_Message()
Hello, world.	0
Subscriber2: about to Receive_Message()
Hello, world.	0
test PASSED.

auto_run_tests_finished: tests/FACE/MultiDomainMessenger/run_test.pl Time:25s Result:0

==============================================================================

tests/FACE/MultiDomainMessenger/run_test.pl callback #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Subscriber/subscriber callback -DCPSPendingTimeout 3 
Subscriber PID: 14152 started at 2023-04-19 14:37:09
Subscriber1: about to Register_Callback()
Subscriber2: about to Register_Callback()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/MultiDomainMessenger/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 14162 started at 2023-04-19 14:37:14
Publisher1: about to Send_Message()
Publisher2: about to Send_Message()
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 2 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 3 time): Hello, world.	0	message_type_id: 1	message_size: 32
In callback() (the 4 time): Hello, world.	0	message_type_id: 1	message_size: 32
test PASSED.

auto_run_tests_finished: tests/FACE/MultiDomainMessenger/run_test.pl callback Time:25s Result:0

==============================================================================

tests/FACE/SingleProcessMessenger/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/SingleProcessMessenger/SingleProcess/singleprocess face_config.ini  -DCPSPendingTimeout 3 
SingleProcess PID: 14175 started at 2023-04-19 14:37:35
Publisher: about to Send_Message()
Subscriber: about to Receive_Message()
Hello, world.	0
test PASSED.

auto_run_tests_finished: tests/FACE/SingleProcessMessenger/run_test.pl Time:6s Result:0

==============================================================================

tests/FACE/SingleProcessMessenger/run_test.pl callback #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/SingleProcessMessenger/SingleProcess/singleprocess face_config.ini callback -DCPSPendingTimeout 3 
SingleProcess PID: 14184 started at 2023-04-19 14:37:40
Subscriber: about to Register_Callback()
Publisher: about to Send_Message()
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
test PASSED.

auto_run_tests_finished: tests/FACE/SingleProcessMessenger/run_test.pl callback Time:10s Result:0

==============================================================================

tests/FACE/CallbackAndReceive/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/CallbackAndReceive/Subscriber/subscriber  -DCPSPendingTimeout 3 
Subscriber PID: 14200 started at 2023-04-19 14:37:50
Subscriber: about to Register_Callback()
Subscriber: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/CallbackAndReceive/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 14206 started at 2023-04-19 14:37:55
Publisher: about to send_message()
  sending 0
  sending 1
  sending 2
  sending 3
  sending 4
  sending 5
  sending 6
In callback() (the 1 time): Hello, world.	0	message_type_id: 1	message_size: 32
  sending 7
  sending 8
In callback() (the 2 time): Hello, world.	1	message_type_id: 1	message_size: 32
Subscriber: about to Unregister_Callback()
  sending 9
Receive_Message: (the 0 time): Hello, world.	2	ttid: 3
  sending 10
Receive_Message: (the 1 time): Hello, world.	4	ttid: 4
  sending 11
Receive_Message: (the 2 time): Hello, world.	5	ttid: 5
Receive_Message: (the 3 time): Hello, world.	6	ttid: 6
Receive_Message: (the 4 time): Hello, world.	7	ttid: 7
  sending 12
Receive_Message: (the 5 time): Hello, world.	9	ttid: 8
  sending 13
  sending 14
  sending 15
  sending 16
  sending 17
  sending 18
  sending 19
Receive_Message: (the 6 time): Hello, world.	10	ttid: 9
Receive_Message: (the 7 time): Hello, world.	18	ttid: 10
Receive_Message: (the 8 time): Hello, world.	19	ttid: 11
(14200|14200) Callback was triggered 2 times
(14200|14200) Receive Message was triggered 9 times
test PASSED.

auto_run_tests_finished: tests/FACE/CallbackAndReceive/run_test.pl Time:35s Result:0

==============================================================================

tests/FACE/Header/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Subscriber/subscriber  -DCPSPendingTimeout 3 
Subscriber PID: 14213 started at 2023-04-19 14:38:25
Subscriber: about to Register_Callback()
Subscriber: about to Receive_Message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Header/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 14219 started at 2023-04-19 14:38:30
Publisher: about to send_message() 10x for callbacks
  sending 0
  sending 1
  sending 2
  sending 3
  sending 4
  sending 5
  sending 6
  sending 7
  sending 8
  sending 9
Sleep - wait for callback to unregister
In callback() (the 1 time): Hello, world.	0	msg_instance_guid: 44976ae300000002	message_type_id: 1	message_size: 24	transaction_id: 1
In callback() Message Header - tid: 1
	platform view guid: 1
	source timestamp: 1681933120753077999
	instance guid: 44976ae300000002
	source guid: 9645061
	validity 1
In callback() (the 2 time): Hello, world.	1	msg_instance_guid: 44976ae300000003	message_type_id: 1	message_size: 24	transaction_id: 2
In callback() Message Header - tid: 2
	platform view guid: 1
	source timestamp: 1681933120754134999
	instance guid: 44976ae300000003
	source guid: 9645061
	validity 1
In callback() (the 3 time): Hello, world.	2	msg_instance_guid: 44976ae300000004	message_type_id: 1	message_size: 24	transaction_id: 3
In callback() Message Header - tid: 3
	platform view guid: 1
	source timestamp: 1681933120754358999
	instance guid: 44976ae300000004
	source guid: 9645061
	validity 1
In callback() (the 4 time): Hello, world.	3	msg_instance_guid: 44976ae300000005	message_type_id: 1	message_size: 24	transaction_id: 4
In callback() Message Header - tid: 4
	platform view guid: 1
	source timestamp: 1681933120754557999
	instance guid: 44976ae300000005
	source guid: 9645061
	validity 1
In callback() (the 5 time): Hello, world.	4	msg_instance_guid: 44976ae300000006	message_type_id: 1	message_size: 24	transaction_id: 5
In callback() Message Header - tid: 5
	platform view guid: 1
	source timestamp: 1681933120754792999
	instance guid: 44976ae300000006
	source guid: 9645061
	validity 1
In callback() (the 6 time): Hello, world.	5	msg_instance_guid: 44976ae300000007	message_type_id: 1	message_size: 24	transaction_id: 6
In callback() Message Header - tid: 6
	platform view guid: 1
	source timestamp: 1681933120754993999
	instance guid: 44976ae300000007
	source guid: 9645061
	validity 1
In callback() (the 7 time): Hello, world.	6	msg_instance_guid: 44976ae300000008	message_type_id: 1	message_size: 24	transaction_id: 7
In callback() Message Header - tid: 7
	platform view guid: 1
	source timestamp: 1681933120755187999
	instance guid: 44976ae300000008
	source guid: 9645061
	validity 1
In callback() (the 8 time): Hello, world.	7	msg_instance_guid: 44976ae300000009	message_type_id: 1	message_size: 24	transaction_id: 8
In callback() Message Header - tid: 8
	platform view guid: 1
	source timestamp: 1681933120755387999
	instance guid: 44976ae300000009
	source guid: 9645061
	validity 1
In callback() (the 9 time): Hello, world.	8	msg_instance_guid: 44976ae30000000a	message_type_id: 1	message_size: 24	transaction_id: 9
In callback() Message Header - tid: 9
	platform view guid: 1
	source timestamp: 1681933120755586999
	instance guid: 44976ae30000000a
	source guid: 9645061
	validity 1
In callback() (the 10 time): Hello, world.	9	msg_instance_guid: 44976ae30000000b	message_type_id: 1	message_size: 24	transaction_id: 10
In callback() Message Header - tid: 10
	platform view guid: 1
	source timestamp: 1681933120755801999
	instance guid: 44976ae30000000b
	source guid: 9645061
	validity 1
Subscriber: about to Unregister_Callback()
Sleep - done waiting for callback to unregister
Publisher: about to send_message() 10x for receives
  sending 10
  sending 11
  sending 12
  sending 13
  sending 14
  sending 15
  sending 16
  sending 17
  sending 18
  sending 19
Receive_Message: (the 0 time): Hello, world.	13	msg_instance_guid: 44976ae30000000f	ttid: 11
Message Header - tid: 11
	platform view guid: 1
	source timestamp: 1681933140757150999
	instance guid: 44976ae30000000f
	source guid: 9645061
	validity 1
Receive_Message: (the 1 time): Hello, world.	14	msg_instance_guid: 44976ae300000010	ttid: 12
Message Header - tid: 12
	platform view guid: 1
	source timestamp: 1681933140757343999
	instance guid: 44976ae300000010
	source guid: 9645061
	validity 1
Receive_Message: (the 2 time): Hello, world.	15	msg_instance_guid: 44976ae300000011	ttid: 13
Message Header - tid: 13
	platform view guid: 1
	source timestamp: 1681933140757537999
	instance guid: 44976ae300000011
	source guid: 9645061
	validity 1
Receive_Message: (the 3 time): Hello, world.	17	msg_instance_guid: 44976ae300000013	ttid: 14
Message Header - tid: 14
	platform view guid: 1
	source timestamp: 1681933140757956999
	instance guid: 44976ae300000013
	source guid: 9645061
	validity 1
Receive_Message: (the 4 time): Hello, world.	18	msg_instance_guid: 44976ae300000014	ttid: 15
Message Header - tid: 15
	platform view guid: 1
	source timestamp: 1681933140758151999
	instance guid: 44976ae300000014
	source guid: 9645061
	validity 1
Receive_Message: (the 5 time): Hello, world.	19	msg_instance_guid: 44976ae300000015	ttid: 16
Message Header - tid: 16
	platform view guid: 1
	source timestamp: 1681933140758354999
	instance guid: 44976ae300000015
	source guid: 9645061
	validity 1
(14213|14213) Callback was triggered 10 times
(14213|14213) Receive Message was triggered 6 times
test PASSED.

auto_run_tests_finished: tests/FACE/Header/run_test.pl Time:50s Result:0

==============================================================================

tests/FACE/Reliability/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Reliability/Subscriber/subscriber  -DCPSPendingTimeout 3 
Subscriber PID: 14239 started at 2023-04-19 14:39:15
Subscriber: about to receive_message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Reliability/Publisher/publisher  -DCPSPendingTimeout 3 
Publisher PID: 14245 started at 2023-04-19 14:39:20
Publisher: about to test timeout values in send_message()
Test 1: sending with TIMEOUT=1 MAX_BLOCKING=INF, should return INVALID_PARAM
Test 1: PASSED
Test 2: sending with TIMEOUT=0 MAX_BLOCKING=Default (100000000 nsec), should return INVALID_PARAM
Test 2: PASSED
Test 3: sending msg 0 with TIMEOUT=100000000 nsec MAX_BLOCKING=Default (100000000 nsec), should succeed
Hello, world.	0
Test 3: PASSED
Test 4: sending msg 1 with TIMEOUT=200000000 nsec MAX_BLOCKING=Default (100000000 nsec), should succeed
Hello, world.	1
Test 4: PASSED
Publisher: about to send_message()
  sending 2
Hello, world.	2
  sending 3
Hello, world.	3
  sending 4
Hello, world.	4
  sending 5
Hello, world.	5
  sending 6
Hello, world.	6
  sending 7
Hello, world.	7
  sending 8
Hello, world.	8
  sending 9
Hello, world.	9
  sending 10
Hello, world.	10
  sending 11
Hello, world.	11
  sending 12
Hello, world.	12
  sending 13
Hello, world.	13
  sending 14
Hello, world.	14
  sending 15
Hello, world.	15
  sending 16
Hello, world.	16
  sending 17
Hello, world.	17
  sending 18
Hello, world.	18
  sending 19
Hello, world.	19
test PASSED.

auto_run_tests_finished: tests/FACE/Reliability/run_test.pl Time:53s Result:0

==============================================================================

tests/FACE/Partition/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Subscriber/subscriber 1 -DCPSPendingTimeout 3 
Subscriber1 PID: 14266 started at 2023-04-19 14:40:08
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Subscriber/subscriber 2 -DCPSPendingTimeout 3 
Subscriber2 PID: 14267 started at 2023-04-19 14:40:08
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Subscriber/subscriber 3 -DCPSPendingTimeout 3 
Subscriber3 PID: 14268 started at 2023-04-19 14:40:08
(14268|14268) Subscriber: about to receive_message()
(14267|14267) Subscriber: about to receive_message()
(14266|14266) Subscriber: about to receive_message()
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Publisher/publisher 1 -DCPSPendingTimeout 3 
Publisher1 PID: 14285 started at 2023-04-19 14:40:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Partition/Publisher/publisher 2 -DCPSPendingTimeout 3 
Publisher2 PID: 14286 started at 2023-04-19 14:40:11
(14286|14286) Publisher: about to send_message()
(14286|14286) sending part: 2
(14267|14267) Subscriber2: Hello, world. part: 2
(14268|14268) Subscriber3: Hello, world. part: 2
(14267|14267) Subscriber: about to receive_message()
(14268|14268) Subscriber: about to receive_message()
(14285|14285) Publisher: about to send_message()
(14285|14285) sending part: 1
(14266|14266) Subscriber1: Hello, world. part: 1
(14268|14268) Subscriber3: Hello, world. part: 1
(14268|14268) Subscriber: about to receive_message()
(14286|14286) sending part: 2
(14267|14267) Subscriber2: Hello, world. part: 2
(14268|14268) Subscriber3: Hello, world. part: 2
test PASSED.

auto_run_tests_finished: tests/FACE/Partition/run_test.pl Time:19s Result:0

==============================================================================

tests/FACE/Compiler/idl_test1_main/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test1_main/idl_test1  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile idl_test1.log -DCPSPendingTimeout 3 
idl_test1 PID: 14303 started at 2023-04-19 14:40:27
serialized_size_bound => <unbounded>
serialized_size => 90
BEFORE WRITING, LENGTH: 0, BUFFER:

AFTER WRITING, LENGTH: 90, BUFFER:
4d 00 00 00 13 00 00 00  00 ff 80 3d 02 00 00 00   M..........=....
07 00 0b 00 01 00 00 00  00 00 00 00 00 00 00 00   ................
05 00 00 00 66 6f 75 72  00 10 50 d9 7b 00 00 00   ....four..P.{...
00 58 6f 39 e7 00 00 00  00 b0 c5 5c e8 00 00 00   .Xo9.......\....
00 a4 70 7d 3f ae 47 e1  3d 00 00 00 00 09 00 00   ..p}?.G.=.......
00 61 20 73 74 72 69 6e  67 00                     .a string.      

AFTER READING, LENGTH: 0, BUFFER:
4d 00 00 00 13 00 00 00  00 ff 80 3d 02 00 00 00   M..........=....
07 00 0b 00 01 00 00 00  00 00 00 00 00 00 00 00   ................
05 00 00 00 66 6f 75 72  00 10 50 d9 7b 00 00 00   ....four..P.{...
00 58 6f 39 e7 00 00 00  00 b0 c5 5c e8 00 00 00   .Xo9.......\....
00 a4 70 7d 3f ae 47 e1  3d 00 00 00 00 09 00 00   ..p}?.G.=.......
00 61 20 73 74 72 69 6e  67 00                     .a string.      

key (expected:
4d 00 00 00                                        M...            
, observed:
4d 00 00 00                                        M...            
)
x (expected:
a4 70 7d 3f                                        .p}?            
, observed:
a4 70 7d 3f                                        .p}?            
)
y (expected:
ae 47 e1 3d                                        .G.=            
, observed:
ae 47 e1 3d                                        .G.=            
)
xcolor (expected:
00 00                                              ..              
, observed:
00 00                                              ..              
)
octer (expected:
13                                                 .               
, observed:
13                                                 .               
)
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test1_main/idl_test1 PASSED
test PASSED.

auto_run_tests_finished: tests/FACE/Compiler/idl_test1_main/run_test.pl Time:0s Result:0

==============================================================================

tests/FACE/Compiler/idl_test3_main/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test3_main/idl_test3  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile idl_test3.log -DCPSPendingTimeout 3 
idl_test3 PID: 14305 started at 2023-04-19 14:40:27
Xyz::StructOfArrayOfBoolean: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfBoolean: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfBoolean: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfBoolean: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfBoolean: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfBoolean: AFTER WRITING, LENGTH: 5, BUFFER:
01 00 01 00 01                                     .....           

Xyz::StructOfArrayOfBoolean: try_marshaling PASSED
Xyz::StructOfArrayOfString: serialized_size_bound(unaligned_encoding) => 60
Xyz::StructOfArrayOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfString: serialized_size(unaligned_encoding, foo) => 39
Xyz::StructOfArrayOfString: serialized_size(aligned_encoding, foo) => 49
Xyz::StructOfArrayOfString: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfString: AFTER WRITING, LENGTH: 39, BUFFER:
02 00 00 00 49 00 05 00  00 00 68 6f 70 65 00 05   ....I.....hope..
00 00 00 74 68 69 73 00  06 00 00 00 77 6f 72 6b   ...this.....work
73 00 01 00 00 00 00                               s......         

Xyz::StructOfArrayOfString: try_marshaling PASSED
Xyz::StructOfArrayOfChar: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfChar: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfChar: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfChar: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfChar: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfChar: AFTER WRITING, LENGTH: 5, BUFFER:
41 42 43 44 45                                     ABCDE           

Xyz::StructOfArrayOfChar: try_marshaling PASSED
Xyz::StructOfArrayOfOctet: serialized_size_bound(unaligned_encoding) => 5
Xyz::StructOfArrayOfOctet: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfOctet: serialized_size(unaligned_encoding, foo) => 5
Xyz::StructOfArrayOfOctet: serialized_size(aligned_encoding, foo) => 5
Xyz::StructOfArrayOfOctet: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfOctet: AFTER WRITING, LENGTH: 5, BUFFER:
00 01 02 03 04                                     .....           

Xyz::StructOfArrayOfOctet: try_marshaling PASSED
Xyz::StructOfArrayOfLong: serialized_size_bound(unaligned_encoding) => 20
Xyz::StructOfArrayOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfLong: serialized_size(unaligned_encoding, foo) => 20
Xyz::StructOfArrayOfLong: serialized_size(aligned_encoding, foo) => 20
Xyz::StructOfArrayOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfLong: AFTER WRITING, LENGTH: 20, BUFFER:
00 00 00 00 01 00 00 00  02 00 00 00 03 00 00 00   ................
04 00 00 00                                        ....            

Xyz::StructOfArrayOfLong: try_marshaling PASSED
Xyz::StructOfArrayOfAnEnum: serialized_size_bound(unaligned_encoding) => 20
Xyz::StructOfArrayOfAnEnum: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfAnEnum: serialized_size(unaligned_encoding, foo) => 20
Xyz::StructOfArrayOfAnEnum: serialized_size(aligned_encoding, foo) => 20
Xyz::StructOfArrayOfAnEnum: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfAnEnum: AFTER WRITING, LENGTH: 20, BUFFER:
01 00 00 00 02 00 00 00  01 00 00 00 02 00 00 00   ................
01 00 00 00                                        ....            

Xyz::StructOfArrayOfAnEnum: try_marshaling PASSED
Xyz::StructOfArrayOfArrayOfLong: serialized_size_bound(unaligned_encoding) => 140
Xyz::StructOfArrayOfArrayOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfArrayOfLong: serialized_size(unaligned_encoding, foo) => 140
Xyz::StructOfArrayOfArrayOfLong: serialized_size(aligned_encoding, foo) => 140
Xyz::StructOfArrayOfArrayOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfArrayOfLong: AFTER WRITING, LENGTH: 140, BUFFER:
00 00 00 00 01 00 00 00  02 00 00 00 03 00 00 00   ................
04 00 00 00 05 00 00 00  06 00 00 00 07 00 00 00   ................
08 00 00 00 09 00 00 00  0a 00 00 00 0b 00 00 00   ................
0c 00 00 00 0d 00 00 00  0e 00 00 00 0f 00 00 00   ................
10 00 00 00 11 00 00 00  12 00 00 00 13 00 00 00   ................
14 00 00 00 15 00 00 00  16 00 00 00 17 00 00 00   ................
18 00 00 00 19 00 00 00  1a 00 00 00 1b 00 00 00   ................
1c 00 00 00 1d 00 00 00  1e 00 00 00 1f 00 00 00   ................
20 00 00 00 21 00 00 00  22 00 00 00                ...!..."...    

Xyz::StructOfArrayOfArrayOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfBoolean: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfBoolean: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfBoolean: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfBoolean: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfBoolean: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfBoolean: AFTER WRITING, LENGTH: 9, BUFFER:
05 00 00 00 01 00 01 00  01                        .........       

Xyz::StructOfSeqOfBoolean: try_marshaling PASSED
Xyz::StructOfSeqOfString: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size(unaligned_encoding, foo) => 43
Xyz::StructOfSeqOfString: serialized_size(aligned_encoding, foo) => 53
Xyz::StructOfSeqOfString: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfString: AFTER WRITING, LENGTH: 43, BUFFER:
05 00 00 00 02 00 00 00  49 00 05 00 00 00 68 6f   ........I.....ho
70 65 00 05 00 00 00 74  68 69 73 00 06 00 00 00   pe.....this.....
77 6f 72 6b 73 00 01 00  00 00 00                  works......     

Xyz::StructOfSeqOfString: try_marshaling PASSED
Xyz::StructOfSeqOfChar: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfChar: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfChar: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfChar: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfChar: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfChar: AFTER WRITING, LENGTH: 9, BUFFER:
05 00 00 00 41 42 43 44  45                        ....ABCDE       

Xyz::StructOfSeqOfChar: try_marshaling PASSED
Xyz::StructOfSeqOfOctet: serialized_size_bound(unaligned_encoding) => 10
Xyz::StructOfSeqOfOctet: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfOctet: serialized_size(unaligned_encoding, foo) => 9
Xyz::StructOfSeqOfOctet: serialized_size(aligned_encoding, foo) => 9
Xyz::StructOfSeqOfOctet: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfOctet: AFTER WRITING, LENGTH: 9, BUFFER:
05 00 00 00 00 01 02 03  04                        .........       

Xyz::StructOfSeqOfOctet: try_marshaling PASSED
Xyz::StructOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 28
Xyz::StructOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 24
Xyz::StructOfSeqOfLong: serialized_size(aligned_encoding, foo) => 24
Xyz::StructOfSeqOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfLong: AFTER WRITING, LENGTH: 24, BUFFER:
05 00 00 00 00 00 00 00  01 00 00 00 02 00 00 00   ................
03 00 00 00 04 00 00 00                            ........        

Xyz::StructOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfAnEnum: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfAnEnum: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfAnEnum: serialized_size(unaligned_encoding, foo) => 24
Xyz::StructOfSeqOfAnEnum: serialized_size(aligned_encoding, foo) => 24
Xyz::StructOfSeqOfAnEnum: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfAnEnum: AFTER WRITING, LENGTH: 24, BUFFER:
05 00 00 00 01 00 00 00  02 00 00 00 01 00 00 00   ................
02 00 00 00 01 00 00 00                            ........        

Xyz::StructOfSeqOfAnEnum: try_marshaling PASSED
Xyz::StructOfArrayOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 168
Xyz::StructOfArrayOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfArrayOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 144
Xyz::StructOfArrayOfSeqOfLong: serialized_size(aligned_encoding, foo) => 144
Xyz::StructOfArrayOfSeqOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfArrayOfSeqOfLong: AFTER WRITING, LENGTH: 144, BUFFER:
05 00 00 00 00 00 00 00  01 00 00 00 02 00 00 00   ................
03 00 00 00 04 00 00 00  05 00 00 00 05 00 00 00   ................
06 00 00 00 07 00 00 00  08 00 00 00 09 00 00 00   ................
05 00 00 00 0a 00 00 00  0b 00 00 00 0c 00 00 00   ................
0d 00 00 00 0e 00 00 00  05 00 00 00 0f 00 00 00   ................
10 00 00 00 11 00 00 00  12 00 00 00 13 00 00 00   ................
05 00 00 00 14 00 00 00  15 00 00 00 16 00 00 00   ................
17 00 00 00 18 00 00 00  05 00 00 00 19 00 00 00   ................
1a 00 00 00 1b 00 00 00  1c 00 00 00 1d 00 00 00   ................

Xyz::StructOfArrayOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfSeqOfLong: serialized_size_bound(unaligned_encoding) => 116
Xyz::StructOfSeqOfSeqOfLong: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfSeqOfLong: serialized_size(unaligned_encoding, foo) => 100
Xyz::StructOfSeqOfSeqOfLong: serialized_size(aligned_encoding, foo) => 100
Xyz::StructOfSeqOfSeqOfLong: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfSeqOfLong: AFTER WRITING, LENGTH: 100, BUFFER:
04 00 00 00 05 00 00 00  00 00 00 00 01 00 00 00   ................
02 00 00 00 03 00 00 00  04 00 00 00 05 00 00 00   ................
05 00 00 00 06 00 00 00  07 00 00 00 08 00 00 00   ................
09 00 00 00 05 00 00 00  0a 00 00 00 0b 00 00 00   ................
0c 00 00 00 0d 00 00 00  0e 00 00 00 05 00 00 00   ................
0f 00 00 00 10 00 00 00  11 00 00 00 12 00 00 00   ................
13 00 00 00                                        ....            

Xyz::StructOfSeqOfSeqOfLong: try_marshaling PASSED
Xyz::StructOfSeqOfString: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::StructOfSeqOfString: serialized_size(unaligned_encoding, foo) => 23
Xyz::StructOfSeqOfString: serialized_size(aligned_encoding, foo) => 26
Xyz::StructOfSeqOfString: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::StructOfSeqOfString: AFTER WRITING, LENGTH: 23, BUFFER:
02 00 00 00 05 00 00 00  66 6f 75 72 00 06 00 00   ........four....
00 66 69 76 65 35 00                               .five5.         

Xyz::StructOfSeqOfString: try_marshaling PASSED
Xyz::Foo: serialized_size_bound(unaligned_encoding) => <unbounded>
Xyz::Foo: serialized_size_bound(aligned_encoding) => <unbounded>
Xyz::Foo: serialized_size(unaligned_encoding, foo) => 3625
Xyz::Foo: serialized_size(aligned_encoding, foo) => 4136
Xyz::Foo: BEFORE WRITING, LENGTH: 0, BUFFER:

Xyz::Foo: AFTER WRITING, LENGTH: 3625, BUFFER:
4d 00 00 00 13 14 00 00  00 00 ff 80 3d 05 00 00   M...........=...
00 66 6f 75 72 00 00 00  00 00 00 00 00 00 00 00   .four...........
00 00 00 01 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  01 00 00 00 00 01 00 00   ................
00 00 01 00 00 00 00 01  00 00 00 00 01 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 01 00  00 00 00 01 00 00 00 00   ................
01 00 00 00 00 01 00 00  00 00 01 00 00 00 00 01   ................
00 00 00 00 01 00 00 00  00 01 00 00 00 00 01 00   ................
00 00 00 01 00 00 00 00  01 00 00 00 00 01 00 00   ................
00 00 01 00 00 00 00 01  00 00 00 00 01 00 00 00   ................
00 01 00 00 00 00 01 00  00 00 00 01 00 00 00 00   ................
01 00 00 00 00 01 00 00  00 00 01 00 00 00 00 01   ................
00 00 00 00 01 00 00 00  00 01 00 00 00 00 01 00   ................
00 00 00 01 00 00 00 00  01 00 00 00 00 01 00 00   ................
00 00 01 00 00 00 00 01  00 00 00 00 01 00 00 00   ................
00 01 00 00 00 00 01 00  00 00 00 01 00 00 00 00   ................
01 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 5c f0 20   .............\. 
6b 3b 00 00 00 00 00 00  00 00 00 7b 38 e0 6b 3b   k;.........{8.k;
00 00 00 a0 f9 81 02 5c  7f 00 00 aa 82 20 6b 3b   .......\..... k;
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 b9 40 20 6c 3b  00 00 00 01 00 00 00 00   ....@ l;........
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 00 00 00 00 00 00 00  00 00 00 00 00 00 00 00   ................
00 80 bb 6f a0 f8 ff 00  00 00 00 00 01 00 00 00   ...o............
00 fc 5c a1 3b 00 00 00  00 00 00 00 5c 7f 00 00   ..\.;.......\...
00 01 00 00 00 00 01 00  00 00 00 01Xyz::Foo: try_marshaling PASSED
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test3_main/idl_test3 PASSED
test PASSED.

auto_run_tests_finished: tests/FACE/Compiler/idl_test3_main/run_test.pl Time:0s Result:0

==============================================================================

tests/FACE/Compiler/idl_test_fixed/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/FACE/Compiler/idl_test_fixed/TestFixed  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile TestFixed.log -DCPSPendingTimeout 3 
TestFixed PID: 14307 started at 2023-04-19 14:40:27
test PASSED.

auto_run_tests_finished: tests/FACE/Compiler/idl_test_fixed/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/XTypes/run_test.pl #

----- IgnoreMemberNames_MutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableStructMatch.log --type MutableStruct --ignore-member-names -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableStructMatch PID: 14309 started at 2023-04-19 14:40:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableStructMatch.log --type ModifiedNameMutableStruct -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableStructMatch PID: 14310 started at 2023-04-19 14:40:27
test PASSED.
----- MutableUnionNoMatchDisc --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchDisc.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchDisc PID: 14321 started at 2023-04-19 14:40:28
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchDisc.log --type ModifiedDiscMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchDisc PID: 14322 started at 2023-04-19 14:40:28
test PASSED.
----- AppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableMatch.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_AppendableMatch PID: 14333 started at 2023-04-19 14:40:29
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableMatch.log --type AdditionalPostfixFieldStruct -DCPSPendingTimeout 3 
writer_AppendableMatch PID: 14334 started at 2023-04-19 14:40:29
test PASSED.
----- FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructNoMatch.log --type FinalStructSub -DCPSPendingTimeout 3 
reader_FinalStructNoMatch PID: 14345 started at 2023-04-19 14:40:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructNoMatch.log --type ModifiedFinalStruct -DCPSPendingTimeout 3 
writer_FinalStructNoMatch PID: 14346 started at 2023-04-19 14:40:30
test PASSED.
----- IgnoreMemberNames_MutableUnionMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableUnionMatch.log --type MutableUnion --ignore-member-names -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableUnionMatch PID: 14357 started at 2023-04-19 14:40:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableUnionMatch.log --type ModifiedNameMutableUnion -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableUnionMatch PID: 14358 started at 2023-04-19 14:40:31
test PASSED.
----- NoXTypesMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName -DCPSPendingTimeout 3 
reader_NoXTypesMatchTypeNames PID: 14369 started at 2023-04-19 14:40:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName -DCPSPendingTimeout 3 
writer_NoXTypesMatchTypeNames PID: 14370 started at 2023-04-19 14:40:31
test PASSED.
----- Dependency --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Dependency.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_Dependency PID: 14381 started at 2023-04-19 14:40:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Dependency.log --type AppendableStructWithDependency -DCPSPendingTimeout 3 
writer_Dependency PID: 14382 started at 2023-04-19 14:40:32
test PASSED.
----- DisallowTypeCoercion_FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructNoMatch.log --type FinalStructSub --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructNoMatch PID: 14393 started at 2023-04-19 14:40:33
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructNoMatch.log --type ModifiedFinalStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructNoMatch PID: 14394 started at 2023-04-19 14:40:33
test PASSED.
----- MutableUnion --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnion.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnion PID: 14405 started at 2023-04-19 14:40:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnion.log --type ModifiedMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnion PID: 14406 started at 2023-04-19 14:40:34
test PASSED.
----- MutableStruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStruct.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStruct PID: 14417 started at 2023-04-19 14:40:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStruct.log --type ModifiedMutableStruct -DCPSPendingTimeout 3 
writer_MutableStruct PID: 14418 started at 2023-04-19 14:40:35
test PASSED.
----- FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructMatch.log --type FinalStructSub -DCPSPendingTimeout 3 
reader_FinalStructMatch PID: 14429 started at 2023-04-19 14:40:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructMatch.log --type FinalStructPub -DCPSPendingTimeout 3 
writer_FinalStructMatch PID: 14430 started at 2023-04-19 14:40:35
test PASSED.
----- MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchType.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchType PID: 14441 started at 2023-04-19 14:40:36
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchType PID: 14442 started at 2023-04-19 14:40:36
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_ny PID: 14453 started at 2023-04-19 14:40:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_ny PID: 14454 started at 2023-04-19 14:40:37
test PASSED.
----- Match_no_xtypes_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_nn PID: 14465 started at 2023-04-19 14:40:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_nn PID: 14466 started at 2023-04-19 14:40:37
test PASSED.
----- DisallowTypeCoercion_FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructSub --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructMatch PID: 14477 started at 2023-04-19 14:40:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructPub -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructMatch PID: 14478 started at 2023-04-19 14:40:38
test PASSED.
----- Match_no_xtypes_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_yn PID: 14489 started at 2023-04-19 14:40:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Match_no_xtypes_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_yn PID: 14490 started at 2023-04-19 14:40:39
test PASSED.
----- Match_no_xtypes_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Match_no_xtypes_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_ny PID: 14501 started at 2023-04-19 14:40:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_ny PID: 14502 started at 2023-04-19 14:40:40
test PASSED.
----- ExtendedMutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedMutableStructMatch.log --type MutableStruct -DCPSPendingTimeout 3 
reader_ExtendedMutableStructMatch PID: 14513 started at 2023-04-19 14:40:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedMutableStructMatch.log --type MutableBaseStruct -DCPSPendingTimeout 3 
writer_ExtendedMutableStructMatch PID: 14514 started at 2023-04-19 14:40:40
test PASSED.
----- Tryconstruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Tryconstruct.log --type Trim20Struct -DCPSPendingTimeout 3 
reader_Tryconstruct PID: 14525 started at 2023-04-19 14:40:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Tryconstruct.log --type Trim64Struct -DCPSPendingTimeout 3 
writer_Tryconstruct PID: 14526 started at 2023-04-19 14:40:41
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchType.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchType PID: 14545 started at 2023-04-19 14:40:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchType PID: 14546 started at 2023-04-19 14:40:42
test PASSED.
----- ExtendedAppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedAppendableMatch.log --type ExtendedAppendableStruct -DCPSPendingTimeout 3 
reader_ExtendedAppendableMatch PID: 14557 started at 2023-04-19 14:40:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedAppendableMatch.log --type BaseAppendableStruct -DCPSPendingTimeout 3 
writer_ExtendedAppendableMatch PID: 14558 started at 2023-04-19 14:40:43
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch2 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AppendableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 14569 started at 2023-04-19 14:40:44
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AdditionalPrefixFieldStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 14570 started at 2023-04-19 14:40:44
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatchType.log --type MutableUnion --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatchType PID: 14581 started at 2023-04-19 14:40:44
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatchType PID: 14582 started at 2023-04-19 14:40:44
test PASSED.
----- NoXTypesNoMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type ReaderTypeName -DCPSPendingTimeout 3 
reader_NoXTypesNoMatchTypeNames PID: 14593 started at 2023-04-19 14:40:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type WriterTypeName -DCPSPendingTimeout 3 
writer_NoXTypesNoMatchTypeNames PID: 14594 started at 2023-04-19 14:40:45
test PASSED.
----- MutableStructNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchName.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchName PID: 14605 started at 2023-04-19 14:40:46
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchName.log --type ModifiedNameMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchName PID: 14606 started at 2023-04-19 14:40:46
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatch.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatch PID: 14617 started at 2023-04-19 14:40:47
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatch.log --type ModifiedMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatch PID: 14618 started at 2023-04-19 14:40:47
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatch.log --type MutableUnion --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatch PID: 14629 started at 2023-04-19 14:40:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatch.log --type ModifiedMutableUnion -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatch PID: 14630 started at 2023-04-19 14:40:48
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_nn PID: 14641 started at 2023-04-19 14:40:49
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_nn PID: 14642 started at 2023-04-19 14:40:49
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_yn PID: 14653 started at 2023-04-19 14:40:49
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_yn PID: 14654 started at 2023-04-19 14:40:49
test PASSED.
----- MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchId.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchId PID: 14665 started at 2023-04-19 14:40:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchId.log --type ModifiedIdMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchId PID: 14666 started at 2023-04-19 14:40:50
test PASSED.
----- AppendableNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableNoMatch.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_AppendableNoMatch PID: 14677 started at 2023-04-19 14:40:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableNoMatch.log --type AdditionalPrefixFieldStruct -DCPSPendingTimeout 3 
writer_AppendableNoMatch PID: 14678 started at 2023-04-19 14:40:51
test PASSED.
----- MutableUnionNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchName.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchName PID: 14689 started at 2023-04-19 14:40:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchName.log --type ModifiedNameMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchName PID: 14690 started at 2023-04-19 14:40:51
test PASSED.
----- PlainCdr --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_PlainCdr.log --type PlainCdrStruct -DCPSPendingTimeout 3 
reader_PlainCdr PID: 14701 started at 2023-04-19 14:40:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_PlainCdr.log --type PlainCdrStruct -DCPSPendingTimeout 3 
writer_PlainCdr PID: 14702 started at 2023-04-19 14:40:52
test PASSED.
----- MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchType.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchType PID: 14713 started at 2023-04-19 14:40:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchType PID: 14714 started at 2023-04-19 14:40:53
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch1 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AppendableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 14725 started at 2023-04-19 14:40:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AdditionalPostfixFieldStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 14726 started at 2023-04-19 14:40:54
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchId.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchId PID: 14737 started at 2023-04-19 14:40:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchId.log --type ModifiedIdMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchId PID: 14738 started at 2023-04-19 14:40:55
test PASSED.

auto_run_tests_finished: tests/DCPS/XTypes/run_test.pl Time:29s Result:0

==============================================================================

tests/DCPS/XTypes/run_test.pl --dynamic-writers #

----- IgnoreMemberNames_MutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableStructMatch.log --type MutableStruct --ignore-member-names -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableStructMatch PID: 14750 started at 2023-04-19 14:40:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableStructMatch.log --type ModifiedNameMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableStructMatch PID: 14751 started at 2023-04-19 14:40:56
test PASSED.
----- MutableUnionNoMatchDisc --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchDisc.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchDisc PID: 14762 started at 2023-04-19 14:40:57
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchDisc.log --type ModifiedDiscMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchDisc PID: 14763 started at 2023-04-19 14:40:57
test PASSED.
----- AppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableMatch.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_AppendableMatch PID: 14774 started at 2023-04-19 14:40:58
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableMatch.log --type AdditionalPostfixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_AppendableMatch PID: 14775 started at 2023-04-19 14:40:58
test PASSED.
----- FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructNoMatch.log --type FinalStructSub -DCPSPendingTimeout 3 
reader_FinalStructNoMatch PID: 14786 started at 2023-04-19 14:40:59
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructNoMatch.log --type ModifiedFinalStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_FinalStructNoMatch PID: 14787 started at 2023-04-19 14:40:59
test PASSED.
----- IgnoreMemberNames_MutableUnionMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableUnionMatch.log --type MutableUnion --ignore-member-names -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableUnionMatch PID: 14798 started at 2023-04-19 14:41:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableUnionMatch.log --type ModifiedNameMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableUnionMatch PID: 14799 started at 2023-04-19 14:41:00
test PASSED.
----- NoXTypesMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName -DCPSPendingTimeout 3 
reader_NoXTypesMatchTypeNames PID: 14810 started at 2023-04-19 14:41:01
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName --dynamic-ts -DCPSPendingTimeout 3 
writer_NoXTypesMatchTypeNames PID: 14811 started at 2023-04-19 14:41:01
test PASSED.
----- Dependency --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Dependency.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_Dependency PID: 14822 started at 2023-04-19 14:41:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Dependency.log --type AppendableStructWithDependency --dynamic-ts -DCPSPendingTimeout 3 
writer_Dependency PID: 14823 started at 2023-04-19 14:41:02
test PASSED.
----- DisallowTypeCoercion_FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructNoMatch.log --type FinalStructSub --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructNoMatch PID: 14834 started at 2023-04-19 14:41:02
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructNoMatch.log --type ModifiedFinalStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructNoMatch PID: 14835 started at 2023-04-19 14:41:02
test PASSED.
----- MutableUnion --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnion.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnion PID: 14846 started at 2023-04-19 14:41:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnion.log --type ModifiedMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnion PID: 14847 started at 2023-04-19 14:41:03
test PASSED.
----- MutableStruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStruct.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStruct PID: 14858 started at 2023-04-19 14:41:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStruct.log --type ModifiedMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStruct PID: 14859 started at 2023-04-19 14:41:04
test PASSED.
----- FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructMatch.log --type FinalStructSub -DCPSPendingTimeout 3 
reader_FinalStructMatch PID: 14870 started at 2023-04-19 14:41:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructMatch.log --type FinalStructPub --dynamic-ts -DCPSPendingTimeout 3 
writer_FinalStructMatch PID: 14871 started at 2023-04-19 14:41:05
test PASSED.
----- MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchType.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchType PID: 14882 started at 2023-04-19 14:41:06
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchType PID: 14883 started at 2023-04-19 14:41:06
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_ny PID: 14894 started at 2023-04-19 14:41:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_ny PID: 14895 started at 2023-04-19 14:41:07
test PASSED.
----- Match_no_xtypes_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_nn PID: 14906 started at 2023-04-19 14:41:08
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_nn PID: 14907 started at 2023-04-19 14:41:08
test PASSED.
----- DisallowTypeCoercion_FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructSub --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructMatch PID: 14918 started at 2023-04-19 14:41:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructPub --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructMatch PID: 14919 started at 2023-04-19 14:41:09
test PASSED.
----- Match_no_xtypes_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_yn PID: 14930 started at 2023-04-19 14:41:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Match_no_xtypes_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_yn PID: 14931 started at 2023-04-19 14:41:10
test PASSED.
----- Match_no_xtypes_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Match_no_xtypes_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
reader_Match_no_xtypes_ny PID: 14942 started at 2023-04-19 14:41:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_ny PID: 14943 started at 2023-04-19 14:41:10
test PASSED.
----- ExtendedMutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedMutableStructMatch.log --type MutableStruct -DCPSPendingTimeout 3 
reader_ExtendedMutableStructMatch PID: 14954 started at 2023-04-19 14:41:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedMutableStructMatch.log --type MutableBaseStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_ExtendedMutableStructMatch PID: 14955 started at 2023-04-19 14:41:11
test PASSED.
----- Tryconstruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Tryconstruct.log --type Trim20Struct -DCPSPendingTimeout 3 
reader_Tryconstruct PID: 14966 started at 2023-04-19 14:41:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Tryconstruct.log --type Trim64Struct --dynamic-ts -DCPSPendingTimeout 3 
writer_Tryconstruct PID: 14967 started at 2023-04-19 14:41:12
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchType.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchType PID: 14979 started at 2023-04-19 14:41:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchType PID: 14980 started at 2023-04-19 14:41:14
test PASSED.
----- ExtendedAppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedAppendableMatch.log --type ExtendedAppendableStruct -DCPSPendingTimeout 3 
reader_ExtendedAppendableMatch PID: 14991 started at 2023-04-19 14:41:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedAppendableMatch.log --type BaseAppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_ExtendedAppendableMatch PID: 14992 started at 2023-04-19 14:41:15
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch2 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AppendableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 15003 started at 2023-04-19 14:41:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AdditionalPrefixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 15004 started at 2023-04-19 14:41:16
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatchType.log --type MutableUnion --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatchType PID: 15015 started at 2023-04-19 14:41:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatchType PID: 15016 started at 2023-04-19 14:41:17
test PASSED.
----- NoXTypesNoMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type ReaderTypeName -DCPSPendingTimeout 3 
reader_NoXTypesNoMatchTypeNames PID: 15027 started at 2023-04-19 14:41:18
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type WriterTypeName --dynamic-ts -DCPSPendingTimeout 3 
writer_NoXTypesNoMatchTypeNames PID: 15028 started at 2023-04-19 14:41:18
test PASSED.
----- MutableStructNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchName.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchName PID: 15039 started at 2023-04-19 14:41:19
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchName.log --type ModifiedNameMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchName PID: 15040 started at 2023-04-19 14:41:19
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatch.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatch PID: 15051 started at 2023-04-19 14:41:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatch.log --type ModifiedMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatch PID: 15052 started at 2023-04-19 14:41:21
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatch.log --type MutableUnion --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatch PID: 15063 started at 2023-04-19 14:41:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatch.log --type ModifiedMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatch PID: 15064 started at 2023-04-19 14:41:21
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_nn PID: 15075 started at 2023-04-19 14:41:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_nn PID: 15076 started at 2023-04-19 14:41:22
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --force-type-validation -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_yn PID: 15088 started at 2023-04-19 14:41:23
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_yn PID: 15089 started at 2023-04-19 14:41:23
test PASSED.
----- MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchId.log --type MutableStruct -DCPSPendingTimeout 3 
reader_MutableStructNoMatchId PID: 15100 started at 2023-04-19 14:41:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchId.log --type ModifiedIdMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchId PID: 15101 started at 2023-04-19 14:41:24
test PASSED.
----- AppendableNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableNoMatch.log --type AppendableStruct -DCPSPendingTimeout 3 
reader_AppendableNoMatch PID: 15112 started at 2023-04-19 14:41:25
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableNoMatch.log --type AdditionalPrefixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_AppendableNoMatch PID: 15113 started at 2023-04-19 14:41:25
test PASSED.
----- MutableUnionNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchName.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchName PID: 15124 started at 2023-04-19 14:41:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchName.log --type ModifiedNameMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchName PID: 15125 started at 2023-04-19 14:41:26
test PASSED.
----- PlainCdr --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_PlainCdr.log --type PlainCdrStruct -DCPSPendingTimeout 3 
reader_PlainCdr PID: 15138 started at 2023-04-19 14:41:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_PlainCdr.log --type PlainCdrStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_PlainCdr PID: 15139 started at 2023-04-19 14:41:27
test PASSED.
----- MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchType.log --type MutableUnion -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchType PID: 15150 started at 2023-04-19 14:41:28
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchType PID: 15151 started at 2023-04-19 14:41:28
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch1 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AppendableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 15162 started at 2023-04-19 14:41:28
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AdditionalPostfixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 15163 started at 2023-04-19 14:41:28
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchId.log --type MutableStruct --disallow-type-coercion -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchId PID: 15174 started at 2023-04-19 14:41:29
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchId.log --type ModifiedIdMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchId PID: 15175 started at 2023-04-19 14:41:29
test PASSED.

auto_run_tests_finished: tests/DCPS/XTypes/run_test.pl --dynamic-writers Time:34s Result:0

==============================================================================

tests/DCPS/XTypes/run_test.pl --dynamic-readers #

----- IgnoreMemberNames_MutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableStructMatch.log --type MutableStruct --ignore-member-names --dynamic-ts -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableStructMatch PID: 15187 started at 2023-04-19 14:41:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableStructMatch.log --type ModifiedNameMutableStruct -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableStructMatch PID: 15188 started at 2023-04-19 14:41:30
test PASSED.
----- MutableUnionNoMatchDisc --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchDisc.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchDisc PID: 15199 started at 2023-04-19 14:41:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchDisc.log --type ModifiedDiscMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchDisc PID: 15200 started at 2023-04-19 14:41:31
test PASSED.
----- AppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableMatch.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_AppendableMatch PID: 15211 started at 2023-04-19 14:41:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableMatch.log --type AdditionalPostfixFieldStruct -DCPSPendingTimeout 3 
writer_AppendableMatch PID: 15212 started at 2023-04-19 14:41:31
test PASSED.
----- FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructNoMatch.log --type FinalStructSub --dynamic-ts -DCPSPendingTimeout 3 
reader_FinalStructNoMatch PID: 15223 started at 2023-04-19 14:41:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructNoMatch.log --type ModifiedFinalStruct -DCPSPendingTimeout 3 
writer_FinalStructNoMatch PID: 15224 started at 2023-04-19 14:41:32
test PASSED.
----- IgnoreMemberNames_MutableUnionMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableUnionMatch.log --type MutableUnion --ignore-member-names --dynamic-ts -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableUnionMatch PID: 15235 started at 2023-04-19 14:41:33
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableUnionMatch.log --type ModifiedNameMutableUnion -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableUnionMatch PID: 15236 started at 2023-04-19 14:41:33
test PASSED.
----- NoXTypesMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName --dynamic-ts -DCPSPendingTimeout 3 
reader_NoXTypesMatchTypeNames PID: 15249 started at 2023-04-19 14:41:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName -DCPSPendingTimeout 3 
writer_NoXTypesMatchTypeNames PID: 15250 started at 2023-04-19 14:41:34
test PASSED.
----- Dependency --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Dependency.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_Dependency PID: 15261 started at 2023-04-19 14:41:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Dependency.log --type AppendableStructWithDependency -DCPSPendingTimeout 3 
writer_Dependency PID: 15262 started at 2023-04-19 14:41:35
test PASSED.
----- DisallowTypeCoercion_FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructNoMatch.log --type FinalStructSub --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructNoMatch PID: 15273 started at 2023-04-19 14:41:36
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructNoMatch.log --type ModifiedFinalStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructNoMatch PID: 15274 started at 2023-04-19 14:41:36
test PASSED.
----- MutableUnion --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnion.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnion PID: 15285 started at 2023-04-19 14:41:36
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnion.log --type ModifiedMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnion PID: 15286 started at 2023-04-19 14:41:36
test PASSED.
----- MutableStruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStruct.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStruct PID: 15297 started at 2023-04-19 14:41:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStruct.log --type ModifiedMutableStruct -DCPSPendingTimeout 3 
writer_MutableStruct PID: 15298 started at 2023-04-19 14:41:37
test PASSED.
----- FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructMatch.log --type FinalStructSub --dynamic-ts -DCPSPendingTimeout 3 
reader_FinalStructMatch PID: 15309 started at 2023-04-19 14:41:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructMatch.log --type FinalStructPub -DCPSPendingTimeout 3 
writer_FinalStructMatch PID: 15310 started at 2023-04-19 14:41:38
test PASSED.
----- MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchType.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchType PID: 15321 started at 2023-04-19 14:41:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchType PID: 15322 started at 2023-04-19 14:41:39
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_ny PID: 15333 started at 2023-04-19 14:41:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_ny PID: 15334 started at 2023-04-19 14:41:40
test PASSED.
----- Match_no_xtypes_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_nn PID: 15345 started at 2023-04-19 14:41:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_nn PID: 15346 started at 2023-04-19 14:41:41
test PASSED.
----- DisallowTypeCoercion_FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructSub --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructMatch PID: 15365 started at 2023-04-19 14:41:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructPub -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructMatch PID: 15366 started at 2023-04-19 14:41:42
test PASSED.
----- Match_no_xtypes_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_yn PID: 15377 started at 2023-04-19 14:41:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Match_no_xtypes_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_yn PID: 15378 started at 2023-04-19 14:41:43
test PASSED.
----- Match_no_xtypes_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Match_no_xtypes_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_ny PID: 15389 started at 2023-04-19 14:41:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_ny.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_Match_no_xtypes_ny PID: 15390 started at 2023-04-19 14:41:45
test PASSED.
----- ExtendedMutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedMutableStructMatch.log --type MutableStruct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_ExtendedMutableStructMatch PID: 15401 started at 2023-04-19 14:41:46
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedMutableStructMatch.log --type MutableBaseStruct -DCPSPendingTimeout 3 
writer_ExtendedMutableStructMatch PID: 15402 started at 2023-04-19 14:41:46
test PASSED.
----- Tryconstruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Tryconstruct.log --type Trim20Struct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_Tryconstruct PID: 15413 started at 2023-04-19 14:41:46
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Tryconstruct.log --type Trim64Struct -DCPSPendingTimeout 3 
writer_Tryconstruct PID: 15414 started at 2023-04-19 14:41:46
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchType.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchType PID: 15425 started at 2023-04-19 14:41:47
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchType PID: 15426 started at 2023-04-19 14:41:47
test PASSED.
----- ExtendedAppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedAppendableMatch.log --type ExtendedAppendableStruct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_ExtendedAppendableMatch PID: 15437 started at 2023-04-19 14:41:48
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedAppendableMatch.log --type BaseAppendableStruct -DCPSPendingTimeout 3 
writer_ExtendedAppendableMatch PID: 15438 started at 2023-04-19 14:41:48
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch2 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AppendableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 15449 started at 2023-04-19 14:41:49
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AdditionalPrefixFieldStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 15450 started at 2023-04-19 14:41:49
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatchType.log --type MutableUnion --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatchType PID: 15461 started at 2023-04-19 14:41:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatchType PID: 15462 started at 2023-04-19 14:41:50
test PASSED.
----- NoXTypesNoMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type ReaderTypeName --dynamic-ts -DCPSPendingTimeout 3 
reader_NoXTypesNoMatchTypeNames PID: 15473 started at 2023-04-19 14:41:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type WriterTypeName -DCPSPendingTimeout 3 
writer_NoXTypesNoMatchTypeNames PID: 15474 started at 2023-04-19 14:41:51
test PASSED.
----- MutableStructNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchName.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchName PID: 15485 started at 2023-04-19 14:41:51
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchName.log --type ModifiedNameMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchName PID: 15486 started at 2023-04-19 14:41:51
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatch.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatch PID: 15497 started at 2023-04-19 14:41:52
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatch.log --type ModifiedMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatch PID: 15498 started at 2023-04-19 14:41:52
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatch.log --type MutableUnion --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatch PID: 15509 started at 2023-04-19 14:41:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatch.log --type ModifiedMutableUnion -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatch PID: 15510 started at 2023-04-19 14:41:53
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_nn PID: 15521 started at 2023-04-19 14:41:54
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_nn PID: 15522 started at 2023-04-19 14:41:54
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_yn PID: 15533 started at 2023-04-19 14:41:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_yn PID: 15534 started at 2023-04-19 14:41:55
test PASSED.
----- MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchId.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchId PID: 15545 started at 2023-04-19 14:41:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchId.log --type ModifiedIdMutableStruct -DCPSPendingTimeout 3 
writer_MutableStructNoMatchId PID: 15546 started at 2023-04-19 14:41:56
test PASSED.
----- AppendableNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableNoMatch.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_AppendableNoMatch PID: 15557 started at 2023-04-19 14:41:56
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableNoMatch.log --type AdditionalPrefixFieldStruct -DCPSPendingTimeout 3 
writer_AppendableNoMatch PID: 15558 started at 2023-04-19 14:41:56
test PASSED.
----- MutableUnionNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchName.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchName PID: 15569 started at 2023-04-19 14:41:57
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchName.log --type ModifiedNameMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchName PID: 15570 started at 2023-04-19 14:41:57
test PASSED.
----- PlainCdr --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_PlainCdr.log --type PlainCdrStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_PlainCdr PID: 15581 started at 2023-04-19 14:41:58
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_PlainCdr.log --type PlainCdrStruct -DCPSPendingTimeout 3 
writer_PlainCdr PID: 15582 started at 2023-04-19 14:41:58
test PASSED.
----- MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchType.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchType PID: 15593 started at 2023-04-19 14:41:59
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchType PID: 15594 started at 2023-04-19 14:41:59
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch1 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AppendableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 15605 started at 2023-04-19 14:42:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AdditionalPostfixFieldStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 15606 started at 2023-04-19 14:42:00
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchId.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchId PID: 15617 started at 2023-04-19 14:42:00
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchId.log --type ModifiedIdMutableStruct -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchId PID: 15618 started at 2023-04-19 14:42:00
test PASSED.

auto_run_tests_finished: tests/DCPS/XTypes/run_test.pl --dynamic-readers Time:31s Result:0

==============================================================================

tests/DCPS/XTypes/run_test.pl --dynamic-writers --dynamic-readers #

----- IgnoreMemberNames_MutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableStructMatch.log --type MutableStruct --ignore-member-names --dynamic-ts -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableStructMatch PID: 15630 started at 2023-04-19 14:42:01
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 100 --topic MutableStruct_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableStructMatch.log --type ModifiedNameMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableStructMatch PID: 15631 started at 2023-04-19 14:42:02
test PASSED.
----- MutableUnionNoMatchDisc --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchDisc.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchDisc PID: 15642 started at 2023-04-19 14:42:03
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 101 --topic MutableUnionT_NoMatchDisc --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchDisc.log --type ModifiedDiscMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchDisc PID: 15643 started at 2023-04-19 14:42:03
test PASSED.
----- AppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableMatch.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_AppendableMatch PID: 15654 started at 2023-04-19 14:42:04
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 102 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableMatch.log --type AdditionalPostfixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_AppendableMatch PID: 15655 started at 2023-04-19 14:42:04
test PASSED.
----- FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructNoMatch.log --type FinalStructSub --dynamic-ts -DCPSPendingTimeout 3 
reader_FinalStructNoMatch PID: 15666 started at 2023-04-19 14:42:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 103 --topic FinalStructT_F --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructNoMatch.log --type ModifiedFinalStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_FinalStructNoMatch PID: 15667 started at 2023-04-19 14:42:05
test PASSED.
----- IgnoreMemberNames_MutableUnionMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_IgnoreMemberNames_MutableUnionMatch.log --type MutableUnion --ignore-member-names --dynamic-ts -DCPSPendingTimeout 3 
reader_IgnoreMemberNames_MutableUnionMatch PID: 15678 started at 2023-04-19 14:42:05
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 104 --topic MutableUnion_IMN -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_IgnoreMemberNames_MutableUnionMatch.log --type ModifiedNameMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_IgnoreMemberNames_MutableUnionMatch PID: 15679 started at 2023-04-19 14:42:05
test PASSED.
----- NoXTypesMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName --dynamic-ts -DCPSPendingTimeout 3 
reader_NoXTypesMatchTypeNames PID: 15690 started at 2023-04-19 14:42:06
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 105 --topic NoXTypesMatchTypeNames_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesMatchTypeNames.log --type AppendableStructNoXTypes --reg-type CommonTypeName --dynamic-ts -DCPSPendingTimeout 3 
writer_NoXTypesMatchTypeNames PID: 15691 started at 2023-04-19 14:42:06
test PASSED.
----- Dependency --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Dependency.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_Dependency PID: 15702 started at 2023-04-19 14:42:07
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 106 --topic DependencyT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Dependency.log --type AppendableStructWithDependency --dynamic-ts -DCPSPendingTimeout 3 
writer_Dependency PID: 15703 started at 2023-04-19 14:42:07
test PASSED.
----- DisallowTypeCoercion_FinalStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructNoMatch.log --type FinalStructSub --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructNoMatch PID: 15714 started at 2023-04-19 14:42:08
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 107 --topic FinalStructNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructNoMatch.log --type ModifiedFinalStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructNoMatch PID: 15715 started at 2023-04-19 14:42:08
test PASSED.
----- MutableUnion --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnion.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnion PID: 15726 started at 2023-04-19 14:42:09
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 108 --topic MutableUnionT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnion.log --type ModifiedMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnion PID: 15727 started at 2023-04-19 14:42:09
test PASSED.
----- MutableStruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStruct.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStruct PID: 15738 started at 2023-04-19 14:42:10
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 109 --topic MutableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStruct.log --type ModifiedMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStruct PID: 15739 started at 2023-04-19 14:42:10
test PASSED.
----- FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_FinalStructMatch.log --type FinalStructSub --dynamic-ts -DCPSPendingTimeout 3 
reader_FinalStructMatch PID: 15750 started at 2023-04-19 14:42:11
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 110 --topic FinalStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_FinalStructMatch.log --type FinalStructPub --dynamic-ts -DCPSPendingTimeout 3 
writer_FinalStructMatch PID: 15751 started at 2023-04-19 14:42:11
test PASSED.
----- MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchType.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchType PID: 15762 started at 2023-04-19 14:42:12
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 111 --topic MutableStructT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchType PID: 15763 started at 2023-04-19 14:42:12
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_ny PID: 15774 started at 2023-04-19 14:42:13
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 112 --topic NoXTypes_FTV_ny --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_ny PID: 15775 started at 2023-04-19 14:42:13
test PASSED.
----- Match_no_xtypes_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_nn PID: 15788 started at 2023-04-19 14:42:14
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 113 --topic AppendableStructT_no_xtypes_nn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_nn PID: 15789 started at 2023-04-19 14:42:14
test PASSED.
----- DisallowTypeCoercion_FinalStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructSub --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_FinalStructMatch PID: 15800 started at 2023-04-19 14:42:15
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 114 --topic FinalStructMatch_DTC -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_FinalStructMatch.log --type FinalStructPub --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_FinalStructMatch PID: 15801 started at 2023-04-19 14:42:15
test PASSED.
----- Match_no_xtypes_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_Match_no_xtypes_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_yn PID: 15812 started at 2023-04-19 14:42:16
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 115 --topic AppendableStructT_no_xtypes_yn -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Match_no_xtypes_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_yn PID: 15813 started at 2023-04-19 14:42:16
test PASSED.
----- Match_no_xtypes_ny --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Match_no_xtypes_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
reader_Match_no_xtypes_ny PID: 15824 started at 2023-04-19 14:42:17
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 116 --topic AppendableStructT_no_xtypes_ny -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_Match_no_xtypes_ny.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_Match_no_xtypes_ny PID: 15825 started at 2023-04-19 14:42:17
test PASSED.
----- ExtendedMutableStructMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedMutableStructMatch.log --type MutableStruct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_ExtendedMutableStructMatch PID: 15836 started at 2023-04-19 14:42:18
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 117 --topic MutableBaseStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedMutableStructMatch.log --type MutableBaseStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_ExtendedMutableStructMatch PID: 15837 started at 2023-04-19 14:42:18
test PASSED.
----- Tryconstruct --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_Tryconstruct.log --type Trim20Struct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_Tryconstruct PID: 15848 started at 2023-04-19 14:42:19
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 118 --topic TryconstructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_Tryconstruct.log --type Trim64Struct --dynamic-ts -DCPSPendingTimeout 3 
writer_Tryconstruct PID: 15849 started at 2023-04-19 14:42:19
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchType.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchType PID: 15860 started at 2023-04-19 14:42:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 119 --topic MutableStructNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchType.log --type ModifiedTypeMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchType PID: 15861 started at 2023-04-19 14:42:20
test PASSED.
----- ExtendedAppendableMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_ExtendedAppendableMatch.log --type ExtendedAppendableStruct --dynamic-ts --skip-read -DCPSPendingTimeout 3 
reader_ExtendedAppendableMatch PID: 15872 started at 2023-04-19 14:42:20
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 120 --topic AppendableStructT -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ExtendedAppendableMatch.log --type BaseAppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_ExtendedAppendableMatch PID: 15873 started at 2023-04-19 14:42:20
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch2 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AppendableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 15884 started at 2023-04-19 14:42:21
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 121 --topic AppendableStructNoMatch2_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch2.log --type AdditionalPrefixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch2 PID: 15885 started at 2023-04-19 14:42:21
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatchType.log --type MutableUnion --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatchType PID: 15896 started at 2023-04-19 14:42:22
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 122 --topic MutableUnionNoMatchType_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatchType PID: 15897 started at 2023-04-19 14:42:22
test PASSED.
----- NoXTypesNoMatchTypeNames --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type ReaderTypeName --dynamic-ts -DCPSPendingTimeout 3 
reader_NoXTypesNoMatchTypeNames PID: 15908 started at 2023-04-19 14:42:23
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 123 --topic NoXTypesNoMatchTypeNames_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_NoXTypesNoMatchTypeNames.log --type AppendableStructNoXTypes --reg-type WriterTypeName --dynamic-ts -DCPSPendingTimeout 3 
writer_NoXTypesNoMatchTypeNames PID: 15909 started at 2023-04-19 14:42:23
test PASSED.
----- MutableStructNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchName.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchName PID: 15920 started at 2023-04-19 14:42:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 124 --topic MutableStructT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchName.log --type ModifiedNameMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchName PID: 15921 started at 2023-04-19 14:42:24
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatch.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatch PID: 15932 started at 2023-04-19 14:42:24
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 125 --topic MutableStructMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatch.log --type ModifiedMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatch PID: 15933 started at 2023-04-19 14:42:24
test PASSED.
----- DisallowTypeCoercion_MutableUnionNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableUnionNoMatch.log --type MutableUnion --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableUnionNoMatch PID: 15944 started at 2023-04-19 14:42:25
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 126 --topic MutableUnionNoMatch_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableUnionNoMatch.log --type ModifiedMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableUnionNoMatch PID: 15945 started at 2023-04-19 14:42:25
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_nn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_nn PID: 15956 started at 2023-04-19 14:42:26
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 127 --topic NoXTypes_FTV_nn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_nn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_nn PID: 15957 started at 2023-04-19 14:42:26
test PASSED.
----- ForceTypeValidation_NoXTypesNoMatch_yn --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc_no_xtypes.ini -ORBLogFile subscriber_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --force-type-validation --dynamic-ts -DCPSPendingTimeout 3 
reader_ForceTypeValidation_NoXTypesNoMatch_yn PID: 15969 started at 2023-04-19 14:42:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 128 --topic NoXTypes_FTV_yn --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_ForceTypeValidation_NoXTypesNoMatch_yn.log --type AppendableStructNoXTypes --dynamic-ts -DCPSPendingTimeout 3 
writer_ForceTypeValidation_NoXTypesNoMatch_yn PID: 15970 started at 2023-04-19 14:42:27
test PASSED.
----- MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableStructNoMatchId.log --type MutableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableStructNoMatchId PID: 15981 started at 2023-04-19 14:42:27
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 129 --topic MutableStructT_NoMatchId --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableStructNoMatchId.log --type ModifiedIdMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableStructNoMatchId PID: 15982 started at 2023-04-19 14:42:27
test PASSED.
----- AppendableNoMatch --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_AppendableNoMatch.log --type AppendableStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_AppendableNoMatch PID: 15993 started at 2023-04-19 14:42:28
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 130 --topic AppendableStructT_NoMatch --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_AppendableNoMatch.log --type AdditionalPrefixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_AppendableNoMatch PID: 15994 started at 2023-04-19 14:42:28
test PASSED.
----- MutableUnionNoMatchName --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchName.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchName PID: 16006 started at 2023-04-19 14:42:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 131 --topic MutableUnionT_NoMatchName --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchName.log --type ModifiedNameMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchName PID: 16007 started at 2023-04-19 14:42:30
test PASSED.
----- PlainCdr --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_PlainCdr.log --type PlainCdrStruct --dynamic-ts -DCPSPendingTimeout 3 
reader_PlainCdr PID: 16018 started at 2023-04-19 14:42:30
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 132 --topic PlainCdr -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_PlainCdr.log --type PlainCdrStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_PlainCdr PID: 16019 started at 2023-04-19 14:42:30
test PASSED.
----- MutableUnionNoMatchType --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_MutableUnionNoMatchType.log --type MutableUnion --dynamic-ts -DCPSPendingTimeout 3 
reader_MutableUnionNoMatchType PID: 16030 started at 2023-04-19 14:42:31
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 133 --topic MutableUnionT_NoMatchType --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_MutableUnionNoMatchType.log --type ModifiedTypeMutableUnion --dynamic-ts -DCPSPendingTimeout 3 
writer_MutableUnionNoMatchType PID: 16031 started at 2023-04-19 14:42:31
test PASSED.
----- DisallowTypeCoercion_AppendableStructNoMatch1 --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AppendableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 16042 started at 2023-04-19 14:42:32
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 134 --topic AppendableStructNoMatch1_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_AppendableStructNoMatch1.log --type AdditionalPostfixFieldStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_AppendableStructNoMatch1 PID: 16043 started at 2023-04-19 14:42:32
test PASSED.
----- DisallowTypeCoercion_MutableStructNoMatchId --------------------------------------------------
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/subscriber -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile subscriber_DisallowTypeCoercion_MutableStructNoMatchId.log --type MutableStruct --disallow-type-coercion --dynamic-ts -DCPSPendingTimeout 3 
reader_DisallowTypeCoercion_MutableStructNoMatchId PID: 16054 started at 2023-04-19 14:42:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/XTypes/publisher -ORBDebugLevel 1 -DCPSDebugLevel 6 --key-val 135 --topic MutableStructNoMatchId_DTC --expect-inconsistent-topic -DCPSConfigFile rtps_disc.ini -ORBLogFile publisher_DisallowTypeCoercion_MutableStructNoMatchId.log --type ModifiedIdMutableStruct --dynamic-ts -DCPSPendingTimeout 3 
writer_DisallowTypeCoercion_MutableStructNoMatchId PID: 16055 started at 2023-04-19 14:42:34
test PASSED.

auto_run_tests_finished: tests/DCPS/XTypes/run_test.pl --dynamic-writers --dynamic-readers Time:33s Result:0

==============================================================================

tests/DCPS/DynamicTypes/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_final_XCDR1.log -DCPSPendingTimeout 3 
reader_my_struct_final_XCDR1 PID: 16067 started at 2023-04-19 14:42:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_final_XCDR1.log -DCPSPendingTimeout 3 
writer_my_struct_final_XCDR1 PID: 16068 started at 2023-04-19 14:42:34
struct Dynamic::my_struct_final
  Dynamic::long_struct_arr_final my_long_struct_arr Dynamic::long_struct_final[2] =
    [0] struct Dynamic::long_struct_final
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_final
      Int32 my_long = 2
  Dynamic::inner_union_seq_final my_inner_union_seq Dynamic::inner_union_final[2] =
    [0] union Dynamic::inner_union_final
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_final
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_final_XCDR2.log -DCPSPendingTimeout 3 
reader_my_struct_final_XCDR2 PID: 16079 started at 2023-04-19 14:42:34
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_final_XCDR2.log -DCPSPendingTimeout 3 
writer_my_struct_final_XCDR2 PID: 16080 started at 2023-04-19 14:42:34
struct Dynamic::my_struct_final
  Dynamic::long_struct_arr_final my_long_struct_arr Dynamic::long_struct_final[2] =
    [0] struct Dynamic::long_struct_final
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_final
      Int32 my_long = 2
  Dynamic::inner_union_seq_final my_inner_union_seq Dynamic::inner_union_final[2] =
    [0] union Dynamic::inner_union_final
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_final
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_appendable_XCDR1.log -DCPSPendingTimeout 3 
reader_my_struct_appendable_XCDR1 PID: 16091 started at 2023-04-19 14:42:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_appendable_XCDR1.log -DCPSPendingTimeout 3 
writer_my_struct_appendable_XCDR1 PID: 16092 started at 2023-04-19 14:42:35
struct Dynamic::my_struct_appendable
  Dynamic::long_struct_arr_appendable my_long_struct_arr Dynamic::long_struct_appendable[2] =
    [0] struct Dynamic::long_struct_appendable
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_appendable
      Int32 my_long = 2
  Dynamic::inner_union_seq_appendable my_inner_union_seq Dynamic::inner_union_appendable[2] =
    [0] union Dynamic::inner_union_appendable
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_appendable
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_appendable_XCDR2.log -DCPSPendingTimeout 3 
reader_my_struct_appendable_XCDR2 PID: 16103 started at 2023-04-19 14:42:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_appendable_XCDR2.log -DCPSPendingTimeout 3 
writer_my_struct_appendable_XCDR2 PID: 16104 started at 2023-04-19 14:42:35
struct Dynamic::my_struct_appendable
  Dynamic::long_struct_arr_appendable my_long_struct_arr Dynamic::long_struct_appendable[2] =
    [0] struct Dynamic::long_struct_appendable
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_appendable
      Int32 my_long = 2
  Dynamic::inner_union_seq_appendable my_inner_union_seq Dynamic::inner_union_appendable[2] =
    [0] union Dynamic::inner_union_appendable
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_appendable
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder my_struct_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_my_struct_mutable_XCDR2.log -DCPSPendingTimeout 3 
reader_my_struct_mutable_XCDR2 PID: 16115 started at 2023-04-19 14:42:35
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub my_struct_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_my_struct_mutable_XCDR2.log -DCPSPendingTimeout 3 
writer_my_struct_mutable_XCDR2 PID: 16116 started at 2023-04-19 14:42:35
struct Dynamic::my_struct_mutable
  Dynamic::long_struct_arr_mutable my_long_struct_arr Dynamic::long_struct_mutable[2] =
    [0] struct Dynamic::long_struct_mutable
      Int32 my_long = 1
    [1] struct Dynamic::long_struct_mutable
      Int32 my_long = 2
  Dynamic::inner_union_seq_mutable my_inner_union_seq Dynamic::inner_union_mutable[2] =
    [0] union Dynamic::inner_union_mutable
      Int32 discriminator = -2147483647
      Boolean b = true
    [1] union Dynamic::inner_union_mutable
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = true
        [1] = false
  Dynamic::enum_arr my_enum_arr Dynamic::EnumType[2] =
    [0] = V1
    [1] = V2
  Int8 my_int8 = 1
  UInt8 my_uint8 = 2
  Int16 my_short = 3
  UInt16 my_ushort = 4
  Int32 my_long = 5
  UInt32 my_ulong = 6
  Int64 my_longlong = 7
  UInt64 my_ulonglong = 8
  Float32 my_float = 9.25
  Float64 my_double = 10.5
  Float128 my_longdouble = 11.075
  Boolean my_boolean = true
  Byte my_byte = 0x0c
  Char8 my_char = 'd'
  Char16 my_wchar = L'e'
  String8 my_string = "Hello"
  WString16 my_wstring = L"World"
  Dynamic::bool_seq my_alias_seq Boolean[2] =
    [0] = true
    [1] = false
  Dynamic::char_arr my_alias_array Char8[2] =
    [0] = 'a'
    [1] = 'b'
  Sequence my_anon_seq Dynamic::EnumType[2] =
    [0] = V2
    [1] = V1
  Array my_anon_arr Int16[2] =
    [0] = 5
    [1] = 6

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_final_XCDR1.log -DCPSPendingTimeout 3 
reader_outer_struct_final_XCDR1 PID: 16127 started at 2023-04-19 14:42:36
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_final_XCDR1.log -DCPSPendingTimeout 3 
writer_outer_struct_final_XCDR1 PID: 16128 started at 2023-04-19 14:42:36
struct Dynamic::outer_struct_final
  struct Dynamic::inner_struct_final is
    union Dynamic::inner_union_final iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_final_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_struct_final_XCDR2 PID: 16140 started at 2023-04-19 14:42:36
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_final_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_struct_final_XCDR2 PID: 16141 started at 2023-04-19 14:42:36
struct Dynamic::outer_struct_final
  struct Dynamic::inner_struct_final is
    union Dynamic::inner_union_final iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_appendable_XCDR1.log -DCPSPendingTimeout 3 
reader_outer_struct_appendable_XCDR1 PID: 16152 started at 2023-04-19 14:42:36
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_appendable_XCDR1.log -DCPSPendingTimeout 3 
writer_outer_struct_appendable_XCDR1 PID: 16153 started at 2023-04-19 14:42:36
struct Dynamic::outer_struct_appendable
  struct Dynamic::inner_struct_appendable is
    union Dynamic::inner_union_appendable iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_appendable_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_struct_appendable_XCDR2 PID: 16164 started at 2023-04-19 14:42:37
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_appendable_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_struct_appendable_XCDR2 PID: 16165 started at 2023-04-19 14:42:37
struct Dynamic::outer_struct_appendable
  struct Dynamic::inner_struct_appendable is
    union Dynamic::inner_union_appendable iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_struct_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_struct_mutable_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_struct_mutable_XCDR2 PID: 16177 started at 2023-04-19 14:42:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_struct_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_struct_mutable_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_struct_mutable_XCDR2 PID: 16178 started at 2023-04-19 14:42:38
struct Dynamic::outer_struct_mutable
  struct Dynamic::inner_struct_mutable is
    union Dynamic::inner_union_mutable iu
      Int32 discriminator = 2
      Dynamic::bool_seq my_alias_seq Boolean[2] =
        [0] = false
        [1] = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_final_XCDR1.log -DCPSPendingTimeout 3 
reader_inner_union_final_XCDR1 PID: 16189 started at 2023-04-19 14:42:38
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_final_XCDR1.log -DCPSPendingTimeout 3 
writer_inner_union_final_XCDR1 PID: 16190 started at 2023-04-19 14:42:38
union Dynamic::inner_union_final
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_final_XCDR2.log -DCPSPendingTimeout 3 
reader_inner_union_final_XCDR2 PID: 16201 started at 2023-04-19 14:42:39
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_final_XCDR2.log -DCPSPendingTimeout 3 
writer_inner_union_final_XCDR2 PID: 16202 started at 2023-04-19 14:42:39
union Dynamic::inner_union_final
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_appendable_XCDR1.log -DCPSPendingTimeout 3 
reader_inner_union_appendable_XCDR1 PID: 16213 started at 2023-04-19 14:42:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_appendable_XCDR1.log -DCPSPendingTimeout 3 
writer_inner_union_appendable_XCDR1 PID: 16214 started at 2023-04-19 14:42:40
union Dynamic::inner_union_appendable
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_appendable_XCDR2.log -DCPSPendingTimeout 3 
reader_inner_union_appendable_XCDR2 PID: 16225 started at 2023-04-19 14:42:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_appendable_XCDR2.log -DCPSPendingTimeout 3 
writer_inner_union_appendable_XCDR2 PID: 16226 started at 2023-04-19 14:42:40
union Dynamic::inner_union_appendable
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder inner_union_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_inner_union_mutable_XCDR2.log -DCPSPendingTimeout 3 
reader_inner_union_mutable_XCDR2 PID: 16237 started at 2023-04-19 14:42:40
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub inner_union_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_inner_union_mutable_XCDR2.log -DCPSPendingTimeout 3 
writer_inner_union_mutable_XCDR2 PID: 16238 started at 2023-04-19 14:42:40
union Dynamic::inner_union_mutable
  Int32 discriminator = -2147483647
  Boolean b = true

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_final_XCDR1.log -DCPSPendingTimeout 3 
reader_outer_union_final_XCDR1 PID: 16249 started at 2023-04-19 14:42:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_final 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_final_XCDR1.log -DCPSPendingTimeout 3 
writer_outer_union_final_XCDR1 PID: 16250 started at 2023-04-19 14:42:41
union Dynamic::outer_union_final
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_final is
    union Dynamic::inner_union_final iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_final_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_union_final_XCDR2 PID: 16269 started at 2023-04-19 14:42:41
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_final 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_final_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_union_final_XCDR2 PID: 16270 started at 2023-04-19 14:42:41
union Dynamic::outer_union_final
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_final is
    union Dynamic::inner_union_final iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_appendable_XCDR1.log -DCPSPendingTimeout 3 
reader_outer_union_appendable_XCDR1 PID: 16281 started at 2023-04-19 14:42:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_appendable 1 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_appendable_XCDR1.log -DCPSPendingTimeout 3 
writer_outer_union_appendable_XCDR1 PID: 16282 started at 2023-04-19 14:42:42
union Dynamic::outer_union_appendable
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_appendable is
    union Dynamic::inner_union_appendable iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_appendable_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_union_appendable_XCDR2 PID: 16293 started at 2023-04-19 14:42:42
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_appendable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_appendable_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_union_appendable_XCDR2 PID: 16294 started at 2023-04-19 14:42:42
union Dynamic::outer_union_appendable
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_appendable is
    union Dynamic::inner_union_appendable iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Recorder/xtypes_dynamic_recorder outer_union_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile reader_outer_union_mutable_XCDR2.log -DCPSPendingTimeout 3 
reader_outer_union_mutable_XCDR2 PID: 16305 started at 2023-04-19 14:42:43
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicTypes/Pub/xtypes_dynamic_pub outer_union_mutable 2 -DCPSConfigFile rtps_disc.ini -ORBDebugLevel 10 -DCPSDebugLevel 10 -ORBLogFile writer_outer_union_mutable_XCDR2.log -DCPSPendingTimeout 3 
writer_outer_union_mutable_XCDR2 PID: 16306 started at 2023-04-19 14:42:43
union Dynamic::outer_union_mutable
  Dynamic::EnumType discriminator = V1
  struct Dynamic::inner_struct_mutable is
    union Dynamic::inner_union_mutable iu
      Int32 discriminator = 1
      Int32 l = 5

test PASSED.
20 tests ran

auto_run_tests_finished: tests/DCPS/DynamicTypes/run_test.pl Time:9s Result:0

==============================================================================

tests/DCPS/UnregisterType/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo -NOBITS -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 16318
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/UnregisterType/unregister_type_test -DCPSBit 0 -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile unregister_type_test.log -DCPSPendingTimeout 3 
unregister_type_test PID: 16325 started at 2023-04-19 14:42:44
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/UnregisterType/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/DataRepresentation/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DataRepresentation/DataRepresentation -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
test PID: 16329 started at 2023-04-19 14:42:44
(16329|16329) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
INFO: register_type[DefaultType]
INFO: create_topic[DefaultType Topic]
(16329|16329) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: create_topic[DefaultType Topic XCDR2]
(16329|16329) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: create_topic[DefaultType Topic XML]
(16329|16329) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: unregister_type[DefaultType]
INFO: register_type[Xcdr2Xcdr1Type]
INFO: create_topic[Xcdr2Xcdr1Type Topic]
INFO: create_topic[Xcdr2Xcdr1Type Topic XCDR2]
INFO: unregister_type[Xcdr2Xcdr1Type]
INFO: register_type[Xcdr1Type]
INFO: create_topic[Xcdr1Type Topic]
INFO: create_topic[Xcdr1Type Topic XCDR2]
INFO: create_topic[Xcdr1Type Topic XML]
INFO: unregister_type[Xcdr1Type]
INFO: register_type[Xcdr2Type]
INFO: create_topic[Xcdr2Type Topic]
INFO: create_topic[Xcdr2Type Topic XCDR2]
INFO: unregister_type[Xcdr2Type]
INFO: register_type[XmlType]
INFO: create_topic[XmlType Topic]
(16329|16329) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 (16329|16329) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: unregister_type[XmlType]
INFO: 98 of 98 cases passed
test PASSED.

auto_run_tests_finished: tests/DCPS/DataRepresentation/run_test.pl Time:0s Result:0

==============================================================================

tests/DCPS/DataRepresentation/run_test.pl rtps_disc #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DataRepresentation/DataRepresentation -DCPSConfigFile rtps_disc.ini -DCPSPendingTimeout 3 
test PID: 16336 started at 2023-04-19 14:42:44
(16336|16336) NOTICE: using DCPSPendingTimeout value from command option (overrides value if it's in config file)
INFO: register_type[DefaultType]
INFO: create_topic[DefaultType Topic]
(16336|16336) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: create_topic[DefaultType Topic XCDR2]
(16336|16336) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: create_topic[DefaultType Topic XML]
(16336|16336) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: unregister_type[DefaultType]
INFO: register_type[Xcdr2Xcdr1Type]
INFO: create_topic[Xcdr2Xcdr1Type Topic]
INFO: create_topic[Xcdr2Xcdr1Type Topic XCDR2]
INFO: unregister_type[Xcdr2Xcdr1Type]
INFO: register_type[Xcdr1Type]
INFO: create_topic[Xcdr1Type Topic]
INFO: create_topic[Xcdr1Type Topic XCDR2]
INFO: create_topic[Xcdr1Type Topic XML]
INFO: unregister_type[Xcdr1Type]
INFO: register_type[Xcdr2Type]
INFO: create_topic[Xcdr2Type Topic]
INFO: create_topic[Xcdr2Type Topic XCDR2]
INFO: unregister_type[Xcdr2Type]
INFO: register_type[XmlType]
INFO: create_topic[XmlType Topic]
(16336|16336) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 (16336|16336) WARNING: DataWriterImpl::setup_serialization: Encountered unsupported or unknown data representation: for writer of type %C
 INFO: unregister_type[XmlType]
INFO: 98 of 98 cases passed
test PASSED.

auto_run_tests_finished: tests/DCPS/DataRepresentation/run_test.pl rtps_disc Time:1s Result:0

==============================================================================

tests/DCPS/HelloWorld/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo  -o repo.ior -DCPSPendingTimeout 3 
InfoRepo PID: 16343
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 16350 started at 2023-04-19 14:42:45
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 16351 started at 2023-04-19 14:42:45
(16343|16343) WARNING: get_fully_qualified_hostname: Could not find FQDN. Using "flea.ociweb.com" as fully qualified hostname, please correct system configuration.
INFO: /tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/bin/DCPSInfoRepo being killed.
InfoRepo_Shutdown: shutting down on signal 15
test PASSED.

auto_run_tests_finished: tests/DCPS/HelloWorld/run_test.pl Time:2s Result:0

==============================================================================

tests/DCPS/HelloWorld/run_test.pl ini=rtps.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
publisher PID: 16367 started at 2023-04-19 14:42:47
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/HelloWorld/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
subscriber PID: 16368 started at 2023-04-19 14:42:47
test PASSED.

auto_run_tests_finished: tests/DCPS/HelloWorld/run_test.pl ini=rtps.ini Time:3s Result:0

==============================================================================

tests/DCPS/ZeroEnum/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroEnum/publisher -DCPSDebugLevel 4 -DCPSConfigFile rtps.ini  -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSPendingTimeout 3 
publisher PID: 16381 started at 2023-04-19 14:42:50
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/ZeroEnum/subscriber -DCPSDebugLevel 4 -DCPSConfigFile rtps.ini  -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSPendingTimeout 3 
subscriber PID: 16382 started at 2023-04-19 14:42:50
test PASSED.

auto_run_tests_finished: tests/DCPS/ZeroEnum/run_test.pl Time:2s Result:0

==============================================================================

tests/DCPS/TypeSupportPlugin/run_test.pl #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/TypeSupportPlugin/TypeSupportPluginUser  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile test.log -DCPSPendingTimeout 3 
test PID: 16394 started at 2023-04-19 14:42:53
test PASSED.

auto_run_tests_finished: tests/DCPS/TypeSupportPlugin/run_test.pl Time:1s Result:0

==============================================================================

tests/DCPS/DynamicData/run_test.pl dyn=dw ini=rtps.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/publisher -dynamic -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
publisher PID: 16401 started at 2023-04-19 14:42:53
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/subscriber  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
subscriber PID: 16402 started at 2023-04-19 14:42:53
test PASSED.

auto_run_tests_finished: tests/DCPS/DynamicData/run_test.pl dyn=dw ini=rtps.ini Time:2s Result:0

==============================================================================

tests/DCPS/DynamicData/run_test.pl dyn=dr ini=rtps.ini #

/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/publisher  -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile publisher.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
publisher PID: 16414 started at 2023-04-19 14:42:55
/tao_builds/jenkins/workspace/dds_oci_tao-2_2_0_flea_linux_gcc_i1d1o0m1/OpenDDS/tests/DCPS/DynamicData/subscriber -dynamic -DCPSDebugLevel 1 -ORBVerboseLogging 1 -DCPSTransportDebugLevel 1 -ORBLogFile subscriber.log -DCPSConfigFile rtps.ini  -DCPSPendingTimeout 3 
subscriber PID: 16415 started at 2023-04-19 14:42:55
test PASSED.

auto_run_tests_finished: tests/DCPS/DynamicData/run_test.pl dyn=dr ini=rtps.ini Time:2s Result:0

End #

Wed Apr 19 19:42:57 2023 UTC